OpenCores
URL https://opencores.org/ocsvn/vhld_tb/vhld_tb/trunk

Subversion Repositories vhld_tb

[/] [vhld_tb/] [trunk/] [source/] [template_tb_bhv.vhd] - Diff between revs 14 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 19
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--             Copyright 2007  Ken Campbell
--             Copyright 2011  Ken Campbell
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- $Author: sckoarn $
-- $Author: sckoarn $
--
--
-- $Date: 2008-02-24 01:34:11 $
-- $Date:  $
--
--
-- $Name: not supported by cvs2svn $
-- $Id:  $
--
--
-- $Id: template_tb_bhv.vhd,v 1.4 2008-02-24 01:34:11 sckoarn Exp $
-- $Source:  $
--
 
-- $Source: /home/marcus/revision_ctrl_test/oc_cvs/cvs/vhld_tb/source/template_tb_bhv.vhd,v $
 
--
--
-- Description :  The the testbench package template behave file.
-- Description :  The the testbench package template behave file.
--                Initial GNU release.
--                GNU release 2 Beta.
--
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
--This file is part of The VHDL Test Bench.
--This file is part of The VHDL Test Bench.
--
--
--    The VHDL Test Bench is free software; you can redistribute it and/or modify
--    The VHDL Test Bench is free software; you can redistribute it and/or modify
Line 29... Line 27...
--
--
--    You should have received a copy of the GNU General Public License
--    You should have received a copy of the GNU General Public License
--    along with The VHDL Test Bench; if not, write to the Free Software
--    along with The VHDL Test Bench; if not, write to the Free Software
--    Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA  02110-1301  USA
--    Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA  02110-1301  USA
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Revision History:
 
-- $Log: not supported by cvs2svn $
 
-- Revision 1.3  2007/11/14 02:35:56  sckoarn
 
-- Fix to WHILE instruction: Change if_state typo to wh_state
 
--
 
-- Revision 1.2  2007/09/02 04:04:04  sckoarn
 
-- Update of version 1.2 tb_pkg
 
-- See documentation for details
 
--
 
-- Revision 1.1.1.1  2007/04/06 04:06:48  sckoarn
 
-- Import of the vhld_tb
 
--
 
--
 
-------------------------------------------------------------------------------
 
 
 
architecture bhv of tb_Top is
architecture bhv of tb_Top is
 
 
 
 
  signal tb_clk            : std_logic;
  signal tb_clk            : std_logic;
Line 149... Line 133...
    --           Stimulus file instruction definition
    --           Stimulus file instruction definition
    --  This is where the instructions used in the stimulus file are defined.
    --  This is where the instructions used in the stimulus file are defined.
    --  Syntax is
    --  Syntax is
    --     define_instruction(inst_def_ptr, instruction, paramiters)
    --     define_instruction(inst_def_ptr, instruction, paramiters)
    --           inst_def_ptr: is a record pointer defined in tb_pkg_header
    --           inst_def_ptr: is a record pointer defined in tb_pkg_header
    --           instruction:  the text instruction name  ie. "DEFINE_VAR"
    --           instruction:  the text instruction name  ie. "ADD_VAR"
    --           paramiters:   the number of fields or paramiters passed
    --           paramiters:   the number of fields or paramiters passed
    --
    --
    --  Some basic instruction are created here, the user should create new
    --  Some basic instruction are created here, the user should create new
    --  instructions below the standard ones.
    --  instructions below the standard ones.
    ------------------------------------------------------------------------
    ------------------------------------------------------------------------
Line 174... Line 158...
    define_instruction(inst_list, "END_WHILE", 0);
    define_instruction(inst_list, "END_WHILE", 0);
    define_instruction(inst_list, "MESSAGES_OFF", 0);
    define_instruction(inst_list, "MESSAGES_OFF", 0);
    define_instruction(inst_list, "MESSAGES_ON", 0);
    define_instruction(inst_list, "MESSAGES_ON", 0);
    define_instruction(inst_list, "ABORT", 0);       -- Error exit from sim
    define_instruction(inst_list, "ABORT", 0);       -- Error exit from sim
    define_instruction(inst_list, "FINISH", 0);      -- Normal exit from sim
    define_instruction(inst_list, "FINISH", 0);      -- Normal exit from sim
    define_instruction(inst_list, "INCLUDE", 1);  -- Define a Variable
    define_instruction(inst_list, "INCLUDE", 1);     -- Include a script file
 
    --  Start User defined instructions
    --  User defined instructions
 
 
 
 
    --  End User defined instructions
    ------------------------------------------------------------------------
    ------------------------------------------------------------------------
    -- Read, test, and load the stimulus file
    -- Read, test, and load the stimulus file
    read_instruction_file(stimulus_file, inst_list, defined_vars, inst_sequ,
    read_instruction_file(stimulus_file, inst_list, defined_vars, inst_sequ,
                          file_list);
                          file_list);
 
 
Line 521... Line 505...
 
 
  end process Read_file;
  end process Read_file;
 
 
 
 
end bhv;
end bhv;
 
-------------------------------------------------------------------------------
 
-- Revision History:
 
--  version 1.4
 
-- $Log: not supported by cvs2svn $
 
-- Revision 1.3  2007/11/14 02:35:56  sckoarn
 
-- Fix to WHILE instruction: Change if_state typo to wh_state
 
--
 
-- Revision 1.2  2007/09/02 04:04:04  sckoarn
 
-- Update of version 1.2 tb_pkg
 
-- See documentation for details
 
--
 
-- Revision 1.1.1.1  2007/04/06 04:06:48  sckoarn
 
-- Import of the vhld_tb
 
--
 
--
 
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.