OpenCores
URL https://opencores.org/ocsvn/wb_to_amba/wb_to_amba/trunk

Subversion Repositories wb_to_amba

[/] [wb_to_amba/] [trunk/] [src/] [wb_arm_slave_top.v] - Diff between revs 2 and 3

Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 5... Line 5...
`timescale 1ns / 100ps
`timescale 1ns / 100ps
 
 
module
module
  wb_arm_slave_top
  wb_arm_slave_top
  #(
  #(
    parameter AWIDTH = 8,
    parameter AWIDTH = 32,
    parameter DWIDTH = 32
    parameter DWIDTH = 32
  )
  )
  (
  (
    // -----------------------------
    // -----------------------------
    // AHB interface
    // AHB interface
Line 47... Line 47...
    output                        wb_rst_o      // reset input
    output                        wb_rst_o      // reset input
  );
  );
 
 
  // -----------------------------
  // -----------------------------
  //  ahb_haddr & control flops
  //  ahb_haddr & control flops
  wire flop_en = ahb_hready_in & ahb_hsel;
  wire flop_en = ahb_hready_in & ahb_hsel & ~ahb_data_phase;
 
 
  reg [AWIDTH-1:0] ahb_haddr_r;
  reg [AWIDTH-1:0] ahb_haddr_r;
  always @ (posedge ahb_hclk)
  always @ (posedge ahb_hclk)
    if ( flop_en )
    if ( flop_en )
      ahb_haddr_r <= ahb_haddr;
      ahb_haddr_r <= ahb_haddr;
Line 84... Line 84...
  // -----------------------------
  // -----------------------------
  //  wb_arm_phase_fsm
  //  wb_arm_phase_fsm
  wire ahb_data_phase;
  wire ahb_data_phase;
  wire fsm_error;
  wire fsm_error;
 
 
  wb_arm_phase_fsm i_wb_arm_phase_fsm(
  wb_arm_phase_fsm
 
    i_wb_arm_phase_fsm(
                                        .ahb_hclk       (ahb_hclk),
                                        .ahb_hclk       (ahb_hclk),
                                        .ahb_hreset     (ahb_hreset),
                                        .ahb_hreset     (ahb_hreset),
                                        .ahb_hsel       (ahb_hsel),
                                        .ahb_hsel       (ahb_hsel),
                                        .ahb_hready_in  (ahb_hready_in),
                                        .ahb_hready_in  (ahb_hready_in),
                                        .ahb_hready_out (ahb_hready_out),
                                        .ahb_hready_out (ahb_hready_out),
 
      .ahb_htrans     (ahb_htrans),
                                        .ahb_data_phase (ahb_data_phase),
                                        .ahb_data_phase (ahb_data_phase),
                                        .fsm_error      (fsm_error)
                                        .fsm_error      (fsm_error)
                                      );
                                      );
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.