OpenCores
URL https://opencores.org/ocsvn/xulalx25soc/xulalx25soc/trunk

Subversion Repositories xulalx25soc

[/] [xulalx25soc/] [trunk/] [rtl/] [ioslave.v] - Diff between revs 74 and 113

Show entire file | Details | Blame | View Log

Rev 74 Rev 113
Line 101... Line 101...
                        // // 4'h4: // R/O Power count
                        // // 4'h4: // R/O Power count
                        // // 4'h5: // RTC count
                        // // 4'h5: // RTC count
                        // default: begin end
                        // default: begin end
                        // endcase
                        // endcase
                // end else
                // end else
                if ((i_wb_cyc)&&(i_wb_stb)&&(~i_wb_we))
                if ((i_wb_stb)&&(~i_wb_we))
                begin
                begin
                        casez(i_wb_addr[3:0])
                        casez(i_wb_addr[3:0])
                        4'h01: r_wb_data <= `DATESTAMP;
                        4'h01: r_wb_data <= `DATESTAMP;
                        4'h02: r_wb_data <= ictrl_data;
                        4'h02: r_wb_data <= ictrl_data;
                        4'h03: r_wb_data <= i_bus_err_addr;
                        4'h03: r_wb_data <= i_bus_err_addr;
Line 129... Line 129...
        wire    [8:0]    interrupt_vector;
        wire    [8:0]    interrupt_vector;
        assign  interrupt_vector = { tm_int,
        assign  interrupt_vector = { tm_int,
                        i_uart_tx_int, i_uart_rx_int, i_pwm_int, gpio_int,
                        i_uart_tx_int, i_uart_rx_int, i_pwm_int, gpio_int,
                        i_scop_int, i_flash_int, ck_int, brd_interrupts[0] };
                        i_scop_int, i_flash_int, ck_int, brd_interrupts[0] };
        icontrol #(9)   intcontroller(i_clk, 1'b0,
        icontrol #(9)   intcontroller(i_clk, 1'b0,
                                ((i_wb_cyc)&&(i_wb_stb)&&(i_wb_we)
                                ((i_wb_stb)&&(i_wb_we)
                                        &&(i_wb_addr==5'h2)), i_wb_data,
                                        &&(i_wb_addr==5'h2)), i_wb_data,
                                ictrl_data, interrupt_vector,
                                ictrl_data, interrupt_vector,
                                o_interrupt);
                                o_interrupt);
 
 
        /*
        /*

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.