OpenCores
URL https://opencores.org/ocsvn/y80e/y80e/trunk

Subversion Repositories y80e

[/] [y80e/] [trunk/] [rtl/] [alu_shft.v] - Diff between revs 2 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 34... Line 34...
      `AOP_RLCA:  shft_c = alub_in[7];
      `AOP_RLCA:  shft_c = alub_in[7];
      `AOP_RR,
      `AOP_RR,
      `AOP_RRA:   shft_c = alub_in[0];
      `AOP_RRA:   shft_c = alub_in[0];
      `AOP_RRC,
      `AOP_RRC,
      `AOP_RRCA:  shft_c = alub_in[0];
      `AOP_RRCA:  shft_c = alub_in[0];
 
      `AOP_SLL,
      `AOP_SLA:   shft_c = alub_in[7];
      `AOP_SLA:   shft_c = alub_in[7];
      `AOP_SRA:   shft_c = alub_in[0];
      `AOP_SRA:   shft_c = alub_in[0];
      `AOP_SRL:   shft_c = alub_in[0];
      `AOP_SRL:   shft_c = alub_in[0];
      default:    shft_c = 1'b0;
      default:    shft_c = 1'b0;
      endcase
      endcase
Line 52... Line 53...
      `AOP_RR,
      `AOP_RR,
      `AOP_RRA:   shft_out = {carry_bit, alub_in[7:1]};
      `AOP_RRA:   shft_out = {carry_bit, alub_in[7:1]};
      `AOP_RRC,
      `AOP_RRC,
      `AOP_RRCA:  shft_out = {alub_in[0], alub_in[7:1]};
      `AOP_RRCA:  shft_out = {alub_in[0], alub_in[7:1]};
      `AOP_SLA:   shft_out = {alub_in[6:0], 1'b0};
      `AOP_SLA:   shft_out = {alub_in[6:0], 1'b0};
 
      `AOP_SLL:   shft_out = {alub_in[6:0], 1'b1};
      `AOP_SRA:   shft_out = {alub_in[7], alub_in[7:1]};
      `AOP_SRA:   shft_out = {alub_in[7], alub_in[7:1]};
      `AOP_SRL:   shft_out = {1'b0, alub_in[7:1]};
      `AOP_SRL:   shft_out = {1'b0, alub_in[7:1]};
      default:    shft_out = 8'h00;
      default:    shft_out = 8'h00;
      endcase
      endcase
    end
    end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.