OpenCores
URL https://opencores.org/ocsvn/yavga/yavga/trunk

Subversion Repositories yavga

[/] [yavga/] [trunk/] [charmaps/] [charmaps_ROM.vhd] - Diff between revs 35 and 36

Show entire file | Details | Blame | View Log

Rev 35 Rev 36
Line 55... Line 55...
 
 
use work.yavga_pkg.all;
use work.yavga_pkg.all;
 
 
--  Uncomment the following lines to use the declarations that are
--  Uncomment the following lines to use the declarations that are
--  provided for instantiating Xilinx primitive components.
--  provided for instantiating Xilinx primitive components.
library UNISIM;
--library UNISIM;
use UNISIM.VComponents.all;
--use UNISIM.VComponents.all;
 
 
entity charmaps_ROM is
entity charmaps_ROM is
  port (
  port (
    i_EN    : in  std_logic;            -- RAM Enable Input
    i_EN    : in  std_logic;            -- RAM Enable Input
    i_clock : in  std_logic;            -- Clock
    i_clock : in  std_logic;            -- Clock

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.