OpenCores
URL https://opencores.org/ocsvn/yavga/yavga/trunk

Subversion Repositories yavga

[/] [yavga/] [trunk/] [vhdl/] [yavga_pkg.vhd] - Diff between revs 28 and 35

Show entire file | Details | Blame | View Log

Rev 28 Rev 35
Line 1... Line 1...
--      Package File Template
--------------------------------------------------------------------------------
--
----                                                                        ----
--      Purpose: This package defines supplemental types, subtypes, 
---- This file is part of the yaVGA project                                 ----
--               constants, and functions 
---- http://www.opencores.org/?do=project&who=yavga                         ----
 
----                                                                        ----
 
---- Description                                                            ----
 
---- Implementation of yaVGA IP core                                        ----
 
----                                                                        ----
 
---- To Do:                                                                 ----
 
----                                                                        ----
 
----                                                                        ----
 
---- Author(s):                                                             ----
 
---- Sandro Amato, sdroamt@netscape.net                                     ----
 
----                                                                        ----
 
--------------------------------------------------------------------------------
 
----                                                                        ----
 
---- Copyright (c) 2009, Sandro Amato                                       ----
 
---- All rights reserved.                                                   ----
 
----                                                                        ----
 
---- Redistribution  and  use in  source  and binary forms, with or without ----
 
---- modification,  are  permitted  provided that  the following conditions ----
 
---- are met:                                                               ----
 
----                                                                        ----
 
----     * Redistributions  of  source  code  must  retain the above        ----
 
----       copyright   notice,  this  list  of  conditions  and  the        ----
 
----       following disclaimer.                                            ----
 
----     * Redistributions  in  binary form must reproduce the above        ----
 
----       copyright   notice,  this  list  of  conditions  and  the        ----
 
----       following  disclaimer in  the documentation and/or  other        ----
 
----       materials provided with the distribution.                        ----
 
----     * Neither  the  name  of  SANDRO AMATO nor the names of its        ----
 
----       contributors may be used to  endorse or  promote products        ----
 
----       derived from this software without specific prior written        ----
 
----       permission.                                                      ----
 
----                                                                        ----
 
---- THIS SOFTWARE IS PROVIDED  BY THE COPYRIGHT  HOLDERS AND  CONTRIBUTORS ----
 
---- "AS IS"  AND  ANY EXPRESS OR  IMPLIED  WARRANTIES, INCLUDING,  BUT NOT ----
 
---- LIMITED  TO, THE  IMPLIED  WARRANTIES  OF MERCHANTABILITY  AND FITNESS ----
 
---- FOR  A PARTICULAR  PURPOSE  ARE  DISCLAIMED. IN  NO  EVENT  SHALL  THE ----
 
---- COPYRIGHT  OWNER  OR CONTRIBUTORS  BE LIABLE FOR ANY DIRECT, INDIRECT, ----
 
---- INCIDENTAL,  SPECIAL,  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, ----
 
---- BUT  NOT LIMITED  TO,  PROCUREMENT OF  SUBSTITUTE  GOODS  OR SERVICES; ----
 
---- LOSS  OF  USE,  DATA,  OR PROFITS;  OR  BUSINESS INTERRUPTION) HOWEVER ----
 
---- CAUSED  AND  ON  ANY THEORY  OF LIABILITY, WHETHER IN CONTRACT, STRICT ----
 
---- LIABILITY,  OR  TORT  (INCLUDING  NEGLIGENCE  OR OTHERWISE) ARISING IN ----
 
---- ANY  WAY OUT  OF THE  USE  OF  THIS  SOFTWARE,  EVEN IF ADVISED OF THE ----
 
---- POSSIBILITY OF SUCH DAMAGE.                                            ----
 
--------------------------------------------------------------------------------
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_1164.all;
 
 
package yavga_pkg is
package yavga_pkg is

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.