OpenCores
URL https://opencores.org/ocsvn/yifive/yifive/trunk

Subversion Repositories yifive

[/] [yifive/] [trunk/] [caravel_yifive/] [verilog/] [dv/] [Makefile] - Diff between revs 2 and 22

Show entire file | Details | Blame | View Log

Rev 2 Rev 22
Line 17... Line 17...
# ---- Test patterns for project striVe ----
# ---- Test patterns for project striVe ----
 
 
.SUFFIXES:
.SUFFIXES:
.SILENT: clean all
.SILENT: clean all
 
 
PATTERNS = io_ports la_test1 la_test2 wb_port mprj_stimulus
PATTERNS = io_ports la_test1 la_test2 wb_port mprj_stimulus risc_boot user_risc_boot
 
 
all:  ${PATTERNS}
all:  ${PATTERNS}
        for i in ${PATTERNS}; do \
        for i in ${PATTERNS}; do \
                ( cd $$i && make -f Makefile $${i}.vcd &> verify.log && grep Monitor verify.log) ; \
                ( cd $$i && make -f Makefile $${i}.vcd &> verify.log && grep Monitor verify.log) ; \
        done
        done

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.