OpenCores
URL https://opencores.org/ocsvn/yifive/yifive/trunk

Subversion Repositories yifive

[/] [yifive/] [trunk/] [caravel_yifive/] [verilog/] [rtl/] [lib/] [sync_fifo.sv] - Diff between revs 19 and 20

Show entire file | Details | Blame | View Log

Rev 19 Rev 20
Line 49... Line 49...
                  rd_data);
                  rd_data);
 
 
   parameter W = 8;
   parameter W = 8;
   parameter D = 4;
   parameter D = 4;
 
 
   parameter AW = (D == 4)   ? 2 :
   parameter AW = (D == 2)   ? 1 :
 
                  (D == 4)   ? 2 :
                  (D == 8)   ? 3 :
                  (D == 8)   ? 3 :
                  (D == 16)  ? 4 :
                  (D == 16)  ? 4 :
                  (D == 32)  ? 5 :
                  (D == 32)  ? 5 :
                  (D == 64)  ? 6 :
                  (D == 64)  ? 6 :
                  (D == 128) ? 7 :
                  (D == 128) ? 7 :

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.