OpenCores
URL https://opencores.org/ocsvn/zx_ula/zx_ula/trunk

Subversion Repositories zx_ula

[/] [zx_ula/] [branches/] [xilinx/] [spectrum_48k_for_digilent_spartan3_starter_kit/] [spectrum48k_tld.v] - Diff between revs 8 and 9

Show entire file | Details | Blame | View Log

Rev 8 Rev 9
Line 110... Line 110...
                .ena(rom_cs),
                .ena(rom_cs),
                .addra(a[13:0]),
                .addra(a[13:0]),
                .douta(romdout)
                .douta(romdout)
        );
        );
 
 
//   /////////////////////////////////////
 
//   // VRAM (first 16K of RAM)
 
//   /////////////////////////////////////      
 
//      vram lower_ram (
 
//              .clka(clkmem),
 
//              .addra(va),
 
//              .dina(vramdin),
 
//              .douta(vramdout),
 
//              .ena(vramcs),
 
//              .wea(vramwe)
 
//      );
 
 
 
//   /////////////////////////////////////
 
//   // SRAM (top 32K of RAM). External SRAM chip
 
//   /////////////////////////////////////      
 
//   ram32k upper_ram (
 
//              .a(a[14:0]),
 
//              .cs_n(!sram_cs),
 
//              .oe_n(rd_n),
 
//              .we_n(wr_n),
 
//              .din(sramdin),
 
//              .dout(sramdout),
 
//              .sa(sa),
 
//              .sd(sd1),
 
//              .sramce(sramce1),
 
//              .sramub(sramub1),
 
//              .sramlb(sramlb1),
 
//              .sramoe(sramoe),
 
//              .sramwe(sramwe)
 
//      );
 
 
 
   /////////////////////////////////////
   /////////////////////////////////////
   // VRAM and upper RAM banks
   // VRAM and upper RAM banks
   /////////////////////////////////////        
   /////////////////////////////////////        
   ram_controller vram_and_upper_ram (
   ram_controller vram_and_upper_ram (
                .clk(clkmem),
                .clk(clkmem),
Line 228... Line 197...
                .nmi_n(1'b1),
                .nmi_n(1'b1),
                .busrq_n(1'b1),
                .busrq_n(1'b1),
                .di(cpudin)
                .di(cpudin)
   );
   );
 
 
//   T80s cpu (
 
//              // Outputs
 
//              .M1_n(),
 
//              .MREQ_n(mreq_n),
 
//              .IORQ_n(iorq_n),
 
//              .RD_n(rd_n),
 
//              .WR_n(wr_n),
 
//              .RFSH_n(rfsh_n),
 
//              .HALT_n(),
 
//              .BUSAK_n(),
 
//              .A(a),
 
//              .DO(cpudout), 
 
//              // Inputs
 
//              .RESET_n(!reset),
 
//              .CLK_n(clkcpu),
 
//              .WAIT_n(1'b1),
 
//              .INT_n(int_n),
 
//              .NMI_n(1'b1),
 
//              .BUSRQ_n(1'b1),
 
//              .DI(cpudin)
 
//   );
 
 
 
   /////////////////////////////////////
   /////////////////////////////////////
   // Connecting all togther
   // Connecting all togther
   /////////////////////////////////////        
   /////////////////////////////////////        
        assign sramdin = cpudout;
        assign sramdin = cpudout;
        assign uladin = cpudout;
        assign uladin = cpudout;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.