OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_Quartus/] [Processor.qsf] - Rev 2

Compare with Previous | Blame | View Log

# -------------------------------------------------------------------------- #
#
# Copyright (C) 2017  Intel Corporation. All rights reserved.
# Your use of Intel Corporation's design tools, logic functions 
# and other software and tools, and its AMPP partner logic 
# functions, and any output files from any of the foregoing 
# (including device programming or simulation files), and any 
# associated documentation or information are expressly subject 
# to the terms and conditions of the Intel Program License 
# Subscription Agreement, the Intel Quartus Prime License Agreement,
# the Intel MegaCore Function License Agreement, or other 
# applicable license agreement, including, without limitation, 
# that your use is for the sole purpose of programming logic 
# devices manufactured by Intel and sold by Intel or its 
# authorized distributors.  Please refer to the applicable 
# agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus Prime
# Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition
# Date created = 20:24:18  February 23, 2018
#
# -------------------------------------------------------------------------- #
#
# Notes:
#
# 1) The default values for assignments are stored in the file:
#               Processor_assignment_defaults.qdf
#    If this file doesn't exist, see file:
#               assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file. This
#    file is updated automatically by the Quartus Prime software
#    and any changes you make may be lost or overwritten.
#
# -------------------------------------------------------------------------- #


set_global_assignment -name FAMILY "Cyclone IV E"
set_global_assignment -name DEVICE EP4CE115F29C7
set_global_assignment -name TOP_LEVEL_ENTITY Processor
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 17.0.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:24:18  FEBRUARY 23, 2018"
set_global_assignment -name LAST_QUARTUS_VERSION "17.0.0 Lite Edition"
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
set_global_assignment -name SMART_RECOMPILE ON
set_instance_assignment -name VIRTUAL_PIN ON -to add_result
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_addr
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_current_state
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_data
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_grant_1
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_grant_2
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_grant_3
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_next_state
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_wren
set_instance_assignment -name VIRTUAL_PIN ON -to compare_aeb
set_instance_assignment -name VIRTUAL_PIN ON -to compare_agb
set_instance_assignment -name VIRTUAL_PIN ON -to compare_alb
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_const_bool
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_current_state
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_imm
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_int_ack
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_next_state
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_opcode
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_program_counter
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_ram_addr
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_ram_data
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_ram_req
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_ram_wren
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_reg_a_num
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_reg_a_val
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_reg_b_num
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_reg_b_val
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_reg_c_num
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_reg_c_val
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_registers
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_rflags
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_rflags_index
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_rom_addr
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_sprite_color
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_sprite_id
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_sprite_level
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_sprite_x
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_sprite_y
set_instance_assignment -name VIRTUAL_PIN ON -to divide_quotient
set_instance_assignment -name VIRTUAL_PIN ON -to divide_remain
set_instance_assignment -name VIRTUAL_PIN ON -to mult_result
set_instance_assignment -name VIRTUAL_PIN ON -to pic_cpu_req
set_instance_assignment -name VIRTUAL_PIN ON -to pic_current_state
set_instance_assignment -name VIRTUAL_PIN ON -to pic_int_ack_0
set_instance_assignment -name VIRTUAL_PIN ON -to pic_int_mask
set_instance_assignment -name VIRTUAL_PIN ON -to pic_isr_addr
set_instance_assignment -name VIRTUAL_PIN ON -to pic_mem_addr
set_instance_assignment -name VIRTUAL_PIN ON -to pic_mem_req
set_instance_assignment -name VIRTUAL_PIN ON -to pic_mem_wren
set_instance_assignment -name VIRTUAL_PIN ON -to pic_next_state
set_instance_assignment -name VIRTUAL_PIN ON -to ram_q
set_instance_assignment -name VIRTUAL_PIN ON -to rom_q
set_instance_assignment -name VIRTUAL_PIN ON -to sub_overflow
set_instance_assignment -name VIRTUAL_PIN ON -to sub_result
set_instance_assignment -name VIRTUAL_PIN ON -to add_overflow
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_v_sync_flag
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_pc_stack
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_pc_stack_val
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_stack_pointer
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_int_program_counter
set_instance_assignment -name VIRTUAL_PIN ON -to VGA_h_pos
set_instance_assignment -name VIRTUAL_PIN ON -to VGA_oAddress
set_instance_assignment -name VIRTUAL_PIN ON -to VGA_v_pos
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_int_req
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_mem_req
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_mem_wren
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_v_sync_flag
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_buttons
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_counter
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_current_state
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_mem_addr
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_mem_data
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_next_state
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_grant_0
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_cpu_sleep
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_line_flag
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_mem_req
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_mem_wren
set_instance_assignment -name VIRTUAL_PIN ON -to testbench_PLL_clock
set_instance_assignment -name VIRTUAL_PIN ON -to testbench_vsync
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_int_rflags
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_EstadoAtual
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_EstadoFuturo
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_level_counter
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_level_sprite_id
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_level_sprite_y
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_mem_addr
set_location_assignment PIN_AB7 -to SRAM_ADDR[0]
set_location_assignment PIN_AD7 -to SRAM_ADDR[1]
set_location_assignment PIN_AE7 -to SRAM_ADDR[2]
set_location_assignment PIN_AC7 -to SRAM_ADDR[3]
set_location_assignment PIN_AB6 -to SRAM_ADDR[4]
set_location_assignment PIN_AE6 -to SRAM_ADDR[5]
set_location_assignment PIN_AB5 -to SRAM_ADDR[6]
set_location_assignment PIN_AC5 -to SRAM_ADDR[7]
set_location_assignment PIN_AF5 -to SRAM_ADDR[8]
set_location_assignment PIN_T7 -to SRAM_ADDR[9]
set_location_assignment PIN_AF2 -to SRAM_ADDR[10]
set_location_assignment PIN_AD3 -to SRAM_ADDR[11]
set_location_assignment PIN_AB4 -to SRAM_ADDR[12]
set_location_assignment PIN_AC3 -to SRAM_ADDR[13]
set_location_assignment PIN_AA4 -to SRAM_ADDR[14]
set_location_assignment PIN_AB11 -to SRAM_ADDR[15]
set_location_assignment PIN_AC11 -to SRAM_ADDR[16]
set_location_assignment PIN_AB9 -to SRAM_ADDR[17]
set_location_assignment PIN_AB8 -to SRAM_ADDR[18]
set_location_assignment PIN_T8 -to SRAM_ADDR[19]
set_location_assignment PIN_AF8 -to SRAM_CE_N
set_location_assignment PIN_AH3 -to SRAM_DQ[0]
set_location_assignment PIN_AF4 -to SRAM_DQ[1]
set_location_assignment PIN_AG4 -to SRAM_DQ[2]
set_location_assignment PIN_AH4 -to SRAM_DQ[3]
set_location_assignment PIN_AF6 -to SRAM_DQ[4]
set_location_assignment PIN_AG6 -to SRAM_DQ[5]
set_location_assignment PIN_AH6 -to SRAM_DQ[6]
set_location_assignment PIN_AF7 -to SRAM_DQ[7]
set_location_assignment PIN_AD1 -to SRAM_DQ[8]
set_location_assignment PIN_AD2 -to SRAM_DQ[9]
set_location_assignment PIN_AE2 -to SRAM_DQ[10]
set_location_assignment PIN_AE1 -to SRAM_DQ[11]
set_location_assignment PIN_AE3 -to SRAM_DQ[12]
set_location_assignment PIN_AE4 -to SRAM_DQ[13]
set_location_assignment PIN_AF3 -to SRAM_DQ[14]
set_location_assignment PIN_AG3 -to SRAM_DQ[15]
set_location_assignment PIN_AD4 -to SRAM_LB_N
set_location_assignment PIN_AD5 -to SRAM_OE_N
set_location_assignment PIN_AC4 -to SRAM_UB_N
set_location_assignment PIN_AE8 -to SRAM_WE_N
set_location_assignment PIN_B10 -to VGA_B[0]
set_location_assignment PIN_A10 -to VGA_B[1]
set_location_assignment PIN_C11 -to VGA_B[2]
set_location_assignment PIN_B11 -to VGA_B[3]
set_location_assignment PIN_A11 -to VGA_B[4]
set_location_assignment PIN_C12 -to VGA_B[5]
set_location_assignment PIN_D11 -to VGA_B[6]
set_location_assignment PIN_D12 -to VGA_B[7]
set_location_assignment PIN_G8 -to VGA_G[0]
set_location_assignment PIN_G11 -to VGA_G[1]
set_location_assignment PIN_F8 -to VGA_G[2]
set_location_assignment PIN_H12 -to VGA_G[3]
set_location_assignment PIN_C8 -to VGA_G[4]
set_location_assignment PIN_B8 -to VGA_G[5]
set_location_assignment PIN_F10 -to VGA_G[6]
set_location_assignment PIN_C9 -to VGA_G[7]
set_location_assignment PIN_E12 -to VGA_R[0]
set_location_assignment PIN_E11 -to VGA_R[1]
set_location_assignment PIN_D10 -to VGA_R[2]
set_location_assignment PIN_F12 -to VGA_R[3]
set_location_assignment PIN_G10 -to VGA_R[4]
set_location_assignment PIN_J12 -to VGA_R[5]
set_location_assignment PIN_H8 -to VGA_R[6]
set_location_assignment PIN_H10 -to VGA_R[7]
set_location_assignment PIN_G13 -to VGA_HS
set_location_assignment PIN_A12 -to VGA_CLK
set_location_assignment PIN_F11 -to VGA_BLANK
set_location_assignment PIN_C13 -to VGA_VS
set_location_assignment PIN_Y2 -to clock
set_location_assignment PIN_Y23 -to reset
set_location_assignment PIN_AG26 -to six_button_UP_Z
set_location_assignment PIN_AH26 -to six_button_B_A
set_location_assignment PIN_AD25 -to six_button_C_START
set_location_assignment PIN_AG23 -to six_button_DOWN_Y
set_location_assignment PIN_AE24 -to six_button_LEFT_X
set_location_assignment PIN_AE25 -to six_button_RIGHT_MODE
set_location_assignment PIN_AF26 -to six_button_SELECT
set_location_assignment PIN_J19 -to button_a
set_location_assignment PIN_F18 -to button_b
set_location_assignment PIN_E18 -to button_c
set_location_assignment PIN_F19 -to button_down
set_location_assignment PIN_E19 -to button_left
set_location_assignment PIN_F21 -to button_right
set_location_assignment PIN_H19 -to button_start
set_location_assignment PIN_G19 -to button_up
set_location_assignment PIN_J15 -to button_x
set_location_assignment PIN_G17 -to button_y
set_location_assignment PIN_J17 -to button_z
set_global_assignment -name MIF_FILE sprite_shape.mif
set_global_assignment -name VERILOG_FILE SRAM_Interface.v
set_global_assignment -name VERILOG_FILE Genesis_6button_Interface.v
set_global_assignment -name VERILOG_FILE Sprite_Shape_Reader.v
set_global_assignment -name VERILOG_FILE Sprite_Processor.v
set_global_assignment -name VERILOG_FILE VGA_Interface.v
set_global_assignment -name BDF_FILE Processor.bdf
set_global_assignment -name MIF_FILE program.mif
set_global_assignment -name SDC_FILE Processor_SDC.sdc
set_global_assignment -name QIP_FILE IP_ROM_Program.qip
set_global_assignment -name QIP_FILE IP_ADD.qip
set_global_assignment -name QIP_FILE IP_SUB.qip
set_global_assignment -name QIP_FILE IP_MULT.qip
set_global_assignment -name QIP_FILE IP_COMPARE.qip
set_global_assignment -name VERILOG_FILE Processor_Controller.v
set_global_assignment -name QIP_FILE IP_RAM_Data.qip
set_global_assignment -name VERILOG_FILE Memory_Arbiter.v
set_global_assignment -name VERILOG_FILE Interrupt_Controller.v
set_global_assignment -name QIP_FILE IP_PLL.qip
set_global_assignment -name VERILOG_FILE Reset_Synchronizer.v
set_global_assignment -name QIP_FILE IP_DIVIDE.qip
set_location_assignment PIN_C10 -to VGA_SYNC
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.