OpenCores
URL https://opencores.org/ocsvn/avalon-wishbone-bridge/avalon-wishbone-bridge/trunk

Subversion Repositories avalon-wishbone-bridge

[/] [avalon-wishbone-bridge/] [trunk/] [UVM/] [av_master_agent/] [av_test_pkg.sv] - Rev 2

Compare with Previous | Blame | View Log


//`include "uvm_pkg.sv"
`include "uvm_macros.svh"
import uvm_pkg::*;

`ifndef DUT_TEST_PKG_SV
`define DUT_TEST_PKG_SV

package av_test_pkg ;
        typedef enum {
          AVALON_WAIT = 0,
          AVALON_RD  = 1,
          AVALON_WR = 2
        } t_direction;
        typedef int unsigned delay_t;
                    
        `include "./av_mm_transaction.sv"
        `include "./av_sequence.sv"
        `include "./av_driver.sv"
        `include "./av_config.sv"
        `include "./av_agent.sv"

endpackage
`endif

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.