OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [.dep] - Rev 5

Go to most recent revision | Compare with Previous | Blame | View Log

ambacomp=trans
fpulib=trans
fp=exclude
fp1eu=exclude
fpu_core=exclude
core_comp=trans
ctrl_comp=trans
peri_serial_comp=trans
peri_io_comp=trans
peri_mem_comp=trans
bus_comp=trans
arm_comp=trans
armcp_comp=trans
armcmd_comp=trans
cache_comp=trans
tbenchmem_comp=trans
tbench_comp=trans
tbench_proc_comp=trans
arith_cnt_comp=trans

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.