OpenCores
URL https://opencores.org/ocsvn/darkriscv/darkriscv/trunk

Subversion Repositories darkriscv

[/] [darkriscv/] [trunk/] [sim/] [darksocv] - Rev 6

Compare with Previous | Blame | View Log

#! /usr/local/Cellar/icarus-verilog/10.3/bin/vvp
:ivl_version "10.3 (stable)" "(v10_3)";
:ivl_delay_selection "TYPICAL";
:vpi_time_precision - 12;
:vpi_module "system";
:vpi_module "vhdl_sys";
:vpi_module "v2005_math";
:vpi_module "va_math";
S_0x7fd83755b530 .scope module, "darksimv" "darksimv" 2 36;
 .timescale -9 -12;
v0x7fd8375dc4d0_0 .var "CLK", 0 0;
v0x7fd8375dc590_0 .var "RES", 0 0;
L_0x109b04008 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0x7fd8375dc620_0 .net "RX", 0 0, L_0x109b04008;  1 drivers
v0x7fd8375dc6f0_0 .net "TX", 0 0, L_0x7fd8375e51c0;  1 drivers
L_0x7fd8375e6670 .reduce/or v0x7fd8375dc590_0;
S_0x7fd837573a70 .scope module, "darksocv" "darksocv" 2 57, 3 34 0, S_0x7fd83755b530;
 .timescale -9 -12;
    .port_info 0 /INPUT 1 "XCLK"
    .port_info 1 /INPUT 1 "XRES"
    .port_info 2 /INPUT 1 "UART_RXD"
    .port_info 3 /OUTPUT 1 "UART_TXD"
    .port_info 4 /OUTPUT 4 "LED"
    .port_info 5 /OUTPUT 4 "DEBUG"
L_0x7fd8375dc7c0 .functor BUFZ 1, v0x7fd8375dc4d0_0, C4<0>, C4<0>, C4<0>;
L_0x7fd8375e1600 .functor AND 1, L_0x7fd8375df060, L_0x7fd8375e12d0, C4<1>, C4<1>;
L_0x7fd8375e1980 .functor AND 1, L_0x7fd8375e16f0, L_0x7fd8375e1860, C4<1>, C4<1>;
L_0x7fd8375e3150 .functor AND 1, L_0x7fd8375e1600, L_0x7fd8375e3030, C4<1>, C4<1>;
L_0x7fd8375e38a0 .functor BUFZ 32, v0x7fd8375d4da0_0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fd8375e3980 .functor XOR 8, v0x7fd8375d43c0_0, v0x7fd8375d3fe0_0, C4<00000000>, C4<00000000>;
L_0x7fd8375e3d10 .functor OR 1, L_0x7fd8375e3a50, L_0x7fd8375e4030, C4<0>, C4<0>;
L_0x7fd8375e3f20 .functor OR 1, L_0x7fd8375e3d10, L_0x7fd8375e3e40, C4<0>, C4<0>;
L_0x7fd8375e5540 .functor AND 1, L_0x7fd8375e5a20, L_0x7fd8375e1600, C4<1>, C4<1>;
L_0x7fd8375e41c0 .functor AND 1, L_0x7fd8375e5540, L_0x7fd8375e4120, C4<1>, C4<1>;
L_0x7fd8375e5b40 .functor AND 1, L_0x7fd8375e41c0, L_0x7fd8375e4310, C4<1>, C4<1>;
L_0x7fd8375e6360 .functor AND 1, L_0x7fd8375e5c90, L_0x7fd8375e1980, C4<1>, C4<1>;
L_0x7fd8375e6000 .functor AND 1, L_0x7fd8375e6360, L_0x7fd8375e63d0, C4<1>, C4<1>;
L_0x7fd8375e6890 .functor AND 1, L_0x7fd8375e6000, L_0x7fd8375e67f0, C4<1>, C4<1>;
v0x7fd8375d33c0_0 .net "BE", 3 0, L_0x7fd8375e32d0;  1 drivers
L_0x109b05058 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d3450_0 .net "BOARD_CK", 7 0, L_0x109b05058;  1 drivers
L_0x109b05010 .functor BUFT 1, C4<01100100>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d34e0_0 .net "BOARD_CM", 7 0, L_0x109b05010;  1 drivers
L_0x109b04fc8 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d3570_0 .net "BOARD_ID", 7 0, L_0x109b04fc8;  1 drivers
v0x7fd8375d3600_0 .net "BOARD_IRQ", 7 0, L_0x7fd8375e3980;  1 drivers
v0x7fd8375d36b0_0 .net "CLK", 0 0, L_0x7fd8375dc7c0;  1 drivers
v0x7fd8375d3780_0 .var "DACK", 1 0;
v0x7fd8375d3810_0 .net "DADDR", 31 0, L_0x7fd8375f0ae0;  1 drivers
v0x7fd8375d38b0_0 .net "DATAI", 31 0, L_0x7fd8375e35b0;  1 drivers
v0x7fd8375d39d0_0 .net "DATAO", 31 0, L_0x7fd8375e1010;  1 drivers
v0x7fd8375d3a90_0 .net "DEBUG", 3 0, L_0x7fd8375e6550;  1 drivers
v0x7fd8375d3b20_0 .net "DHIT", 0 0, L_0x7fd8375e3800;  1 drivers
v0x7fd8375d3bb0_0 .net "DLEN", 2 0, L_0x7fd8375f1670;  1 drivers
v0x7fd8375d3c60_0 .net "FINISH_REQ", 0 0, v0x7fd8375d1080_0;  1 drivers
v0x7fd8375d3d30_0 .var "GPIOFF", 15 0;
v0x7fd8375d3dc0_0 .net "HLT", 0 0, L_0x7fd8375e3f20;  1 drivers
v0x7fd8375d3e50_0 .var "HLT2", 0 0;
v0x7fd8375d3fe0_0 .var "IACK", 7 0;
v0x7fd8375d4090_0 .net "IADDR", 31 0, L_0x7fd8375f1c70;  1 drivers
v0x7fd8375d4150_0 .net "IDATA", 31 0, L_0x7fd8375dc910;  1 drivers
L_0x109b04050 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d41e0_0 .net "IHIT", 0 0, L_0x109b04050;  1 drivers
v0x7fd8375d4270 .array "IOMUX", 3 0;
v0x7fd8375d4270_0 .net v0x7fd8375d4270 0, 31 0, L_0x7fd8375e3750; 1 drivers
v0x7fd8375d4270_1 .net v0x7fd8375d4270 1, 31 0, L_0x7fd8375e48d0; 1 drivers
v0x7fd8375d4270_2 .net v0x7fd8375d4270 2, 31 0, L_0x7fd8375e3c30; 1 drivers
v0x7fd8375d4270_3 .net v0x7fd8375d4270 3, 31 0, L_0x7fd8375e38a0; 1 drivers
v0x7fd8375d4310_0 .var "IOMUXFF", 31 0;
v0x7fd8375d43c0_0 .var "IREQ", 7 0;
v0x7fd8375d4470_0 .var "IRES", 7 0;
v0x7fd8375d4520_0 .net "KDEBUG", 3 0, L_0x7fd8375f1d20;  1 drivers
v0x7fd8375d45e0_0 .net "LED", 3 0, L_0x7fd8375f1e80;  1 drivers
v0x7fd8375d4680_0 .var "LEDFF", 15 0;
v0x7fd8375d4730 .array "MEM", 2047 0, 31 0;
v0x7fd8375d47d0_0 .var "RAMFF", 31 0;
v0x7fd8375d4880_0 .net "RD", 0 0, L_0x7fd8375e1600;  1 drivers
v0x7fd8375d4920_0 .net "RES", 0 0, L_0x7fd8375dc870;  1 drivers
v0x7fd8375d49f0_0 .var "ROMFF", 31 0;
v0x7fd8375d3f00_0 .var "ROMFF2", 31 0;
v0x7fd8375d4c80_0 .net "RW", 0 0, L_0x7fd8375f0be0;  1 drivers
v0x7fd8375d4d10_0 .var "TIMER", 31 0;
v0x7fd8375d4da0_0 .var "TIMERFF", 31 0;
v0x7fd8375d4e30_0 .net "UART_RXD", 0 0, L_0x109b04008;  alias, 1 drivers
v0x7fd8375d4ee0_0 .net "UART_TXD", 0 0, L_0x7fd8375e51c0;  alias, 1 drivers
v0x7fd8375d4f90_0 .net "UDEBUG", 3 0, L_0x7fd8375e5700;  1 drivers
L_0x109b04ef0 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d5040_0 .net "WHIT", 0 0, L_0x109b04ef0;  1 drivers
v0x7fd8375d50d0_0 .net "WR", 0 0, L_0x7fd8375e1980;  1 drivers
v0x7fd8375d5160_0 .net "XATAI", 31 0, L_0x7fd8375dee20;  1 drivers
v0x7fd8375d5220_0 .net "XATAO", 31 0, L_0x7fd8375f0990;  1 drivers
v0x7fd8375d52d0_0 .net "XCLK", 0 0, v0x7fd8375dc4d0_0;  1 drivers
v0x7fd8375d5360_0 .net "XRES", 0 0, L_0x7fd8375e6670;  1 drivers
v0x7fd8375d5400_0 .var "XTIMER", 0 0;
v0x7fd8375d54a0_0 .net *"_s101", 0 0, L_0x7fd8375def40;  1 drivers
v0x7fd8375d5550_0 .net *"_s103", 1 0, L_0x7fd8375ded10;  1 drivers
v0x7fd8375d5600_0 .net *"_s104", 31 0, L_0x7fd8375df180;  1 drivers
L_0x109b04488 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d56b0_0 .net *"_s107", 29 0, L_0x109b04488;  1 drivers
L_0x109b044d0 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d5760_0 .net/2u *"_s108", 31 0, L_0x109b044d0;  1 drivers
v0x7fd8375d5810_0 .net *"_s11", 1 0, L_0x7fd8375dcb30;  1 drivers
v0x7fd8375d58c0_0 .net *"_s110", 0 0, L_0x7fd8375df350;  1 drivers
v0x7fd8375d5960_0 .net *"_s113", 7 0, L_0x7fd8375df3f0;  1 drivers
L_0x109b04518 .functor BUFT 1, C4<xxxxxxxxxxxxxxxxxxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d5a10_0 .net *"_s114", 23 0, L_0x109b04518;  1 drivers
v0x7fd8375d5ac0_0 .net *"_s116", 31 0, L_0x7fd8375df220;  1 drivers
v0x7fd8375d5b70_0 .net *"_s119", 1 0, L_0x7fd8375df610;  1 drivers
v0x7fd8375d5c20_0 .net *"_s12", 31 0, L_0x7fd8375dcc30;  1 drivers
v0x7fd8375d5cd0_0 .net *"_s120", 31 0, L_0x7fd8375df4d0;  1 drivers
L_0x109b04560 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d5d80_0 .net *"_s123", 29 0, L_0x109b04560;  1 drivers
L_0x109b045a8 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d5e30_0 .net/2u *"_s124", 31 0, L_0x109b045a8;  1 drivers
v0x7fd8375d5ee0_0 .net *"_s126", 0 0, L_0x7fd8375df800;  1 drivers
L_0x109b045f0 .functor BUFT 1, C4<xxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d5f80_0 .net *"_s128", 7 0, L_0x109b045f0;  1 drivers
v0x7fd8375d6030_0 .net *"_s131", 7 0, L_0x7fd8375df6b0;  1 drivers
L_0x109b04638 .functor BUFT 1, C4<xxxxxxxxxxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d4aa0_0 .net *"_s132", 15 0, L_0x109b04638;  1 drivers
v0x7fd8375d4b50_0 .net *"_s134", 31 0, L_0x7fd8375df750;  1 drivers
v0x7fd8375d60c0_0 .net *"_s137", 1 0, L_0x7fd8375df920;  1 drivers
v0x7fd8375d6150_0 .net *"_s138", 31 0, L_0x7fd8375df9c0;  1 drivers
L_0x109b04680 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d61e0_0 .net *"_s141", 29 0, L_0x109b04680;  1 drivers
L_0x109b046c8 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d6270_0 .net/2u *"_s142", 31 0, L_0x109b046c8;  1 drivers
v0x7fd8375d6300_0 .net *"_s144", 0 0, L_0x7fd8375dfb40;  1 drivers
L_0x109b04710 .functor BUFT 1, C4<xxxxxxxxxxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d63a0_0 .net *"_s146", 15 0, L_0x109b04710;  1 drivers
v0x7fd8375d6450_0 .net *"_s149", 7 0, L_0x7fd8375dff30;  1 drivers
L_0x109b04098 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d6500_0 .net *"_s15", 29 0, L_0x109b04098;  1 drivers
L_0x109b04758 .functor BUFT 1, C4<xxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d65b0_0 .net *"_s150", 7 0, L_0x109b04758;  1 drivers
v0x7fd8375d6660_0 .net *"_s152", 31 0, L_0x7fd8375dfdb0;  1 drivers
L_0x109b047a0 .functor BUFT 1, C4<xxxxxxxxxxxxxxxxxxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d6710_0 .net *"_s154", 23 0, L_0x109b047a0;  1 drivers
v0x7fd8375d67c0_0 .net *"_s157", 7 0, L_0x7fd8375e01e0;  1 drivers
v0x7fd8375d6870_0 .net *"_s158", 31 0, L_0x7fd8375e0050;  1 drivers
L_0x109b040e0 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d6920_0 .net/2u *"_s16", 31 0, L_0x109b040e0;  1 drivers
v0x7fd8375d69d0_0 .net *"_s160", 31 0, L_0x7fd8375e0130;  1 drivers
v0x7fd8375d6a80_0 .net *"_s162", 31 0, L_0x7fd8375e04f0;  1 drivers
v0x7fd8375d6b30_0 .net *"_s164", 31 0, L_0x7fd8375e0650;  1 drivers
v0x7fd8375d6be0_0 .net *"_s167", 0 0, L_0x7fd8375e0340;  1 drivers
v0x7fd8375d6c90_0 .net *"_s169", 0 0, L_0x7fd8375e08b0;  1 drivers
v0x7fd8375d6d40_0 .net *"_s170", 31 0, L_0x7fd8375e06f0;  1 drivers
L_0x109b047e8 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d6df0_0 .net *"_s173", 30 0, L_0x109b047e8;  1 drivers
L_0x109b04830 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d6ea0_0 .net/2u *"_s174", 31 0, L_0x109b04830;  1 drivers
v0x7fd8375d6f50_0 .net *"_s176", 0 0, L_0x7fd8375e07d0;  1 drivers
v0x7fd8375d6ff0_0 .net *"_s179", 15 0, L_0x7fd8375e0950;  1 drivers
v0x7fd8375d70a0_0 .net *"_s18", 0 0, L_0x7fd8375dcdd0;  1 drivers
L_0x109b04878 .functor BUFT 1, C4<xxxxxxxxxxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d7140_0 .net *"_s180", 15 0, L_0x109b04878;  1 drivers
v0x7fd8375d71f0_0 .net *"_s182", 31 0, L_0x7fd8375e09f0;  1 drivers
L_0x109b048c0 .functor BUFT 1, C4<xxxxxxxxxxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d72a0_0 .net *"_s184", 15 0, L_0x109b048c0;  1 drivers
v0x7fd8375d7350_0 .net *"_s187", 15 0, L_0x7fd8375e0d50;  1 drivers
v0x7fd8375d7400_0 .net *"_s188", 31 0, L_0x7fd8375e0df0;  1 drivers
v0x7fd8375d74b0_0 .net *"_s190", 31 0, L_0x7fd8375e0b60;  1 drivers
v0x7fd8375d7560_0 .net *"_s192", 31 0, L_0x7fd8375e1110;  1 drivers
L_0x109b04908 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d7610_0 .net/2u *"_s196", 2 0, L_0x109b04908;  1 drivers
v0x7fd8375d76c0_0 .net *"_s198", 0 0, L_0x7fd8375df060;  1 drivers
v0x7fd8375d7760_0 .net *"_s200", 31 0, L_0x7fd8375e11b0;  1 drivers
L_0x109b04950 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d7810_0 .net *"_s203", 30 0, L_0x109b04950;  1 drivers
L_0x109b04998 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d78c0_0 .net/2u *"_s204", 31 0, L_0x109b04998;  1 drivers
v0x7fd8375d7970_0 .net *"_s206", 0 0, L_0x7fd8375e12d0;  1 drivers
v0x7fd8375d7a10_0 .net *"_s21", 7 0, L_0x7fd8375dcf10;  1 drivers
L_0x109b049e0 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d7ac0_0 .net/2u *"_s210", 2 0, L_0x109b049e0;  1 drivers
v0x7fd8375d7b70_0 .net *"_s212", 0 0, L_0x7fd8375e16f0;  1 drivers
v0x7fd8375d7c10_0 .net *"_s214", 31 0, L_0x7fd8375e1a90;  1 drivers
L_0x109b04a28 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d7cc0_0 .net *"_s217", 30 0, L_0x109b04a28;  1 drivers
L_0x109b04a70 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d7d70_0 .net/2u *"_s218", 31 0, L_0x109b04a70;  1 drivers
v0x7fd8375d7e20_0 .net *"_s22", 31 0, L_0x7fd8375dcff0;  1 drivers
v0x7fd8375d7ed0_0 .net *"_s220", 0 0, L_0x7fd8375e1860;  1 drivers
v0x7fd8375d7f70_0 .net *"_s225", 0 0, L_0x7fd8375e1d70;  1 drivers
v0x7fd8375d8020_0 .net *"_s227", 1 0, L_0x7fd8375e1b30;  1 drivers
v0x7fd8375d80d0_0 .net *"_s228", 31 0, L_0x7fd8375e1bd0;  1 drivers
L_0x109b04ab8 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d8180_0 .net *"_s231", 29 0, L_0x109b04ab8;  1 drivers
L_0x109b04b00 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d8230_0 .net/2u *"_s232", 31 0, L_0x109b04b00;  1 drivers
v0x7fd8375d82e0_0 .net *"_s234", 0 0, L_0x7fd8375e1c70;  1 drivers
L_0x109b04b48 .functor BUFT 1, C4<1000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d8380_0 .net/2u *"_s236", 3 0, L_0x109b04b48;  1 drivers
v0x7fd8375d8430_0 .net *"_s239", 1 0, L_0x7fd8375e21b0;  1 drivers
v0x7fd8375d84e0_0 .net *"_s240", 31 0, L_0x7fd8375e1f10;  1 drivers
L_0x109b04b90 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d8590_0 .net *"_s243", 29 0, L_0x109b04b90;  1 drivers
L_0x109b04bd8 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d8640_0 .net/2u *"_s244", 31 0, L_0x109b04bd8;  1 drivers
v0x7fd8375d86f0_0 .net *"_s246", 0 0, L_0x7fd8375e2030;  1 drivers
L_0x109b04c20 .functor BUFT 1, C4<0100>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d8790_0 .net/2u *"_s248", 3 0, L_0x109b04c20;  1 drivers
L_0x109b04128 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d8840_0 .net *"_s25", 23 0, L_0x109b04128;  1 drivers
v0x7fd8375d88f0_0 .net *"_s251", 1 0, L_0x7fd8375e24d0;  1 drivers
v0x7fd8375d89a0_0 .net *"_s252", 31 0, L_0x7fd8375e2570;  1 drivers
L_0x109b04c68 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d8a50_0 .net *"_s255", 29 0, L_0x109b04c68;  1 drivers
L_0x109b04cb0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d8b00_0 .net/2u *"_s256", 31 0, L_0x109b04cb0;  1 drivers
v0x7fd8375d8bb0_0 .net *"_s258", 0 0, L_0x7fd8375e2290;  1 drivers
L_0x109b04cf8 .functor BUFT 1, C4<0010>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d8c50_0 .net/2u *"_s260", 3 0, L_0x109b04cf8;  1 drivers
L_0x109b04d40 .functor BUFT 1, C4<0001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d8d00_0 .net/2u *"_s262", 3 0, L_0x109b04d40;  1 drivers
v0x7fd8375d8db0_0 .net *"_s264", 3 0, L_0x7fd8375e23d0;  1 drivers
v0x7fd8375d8e60_0 .net *"_s266", 3 0, L_0x7fd8375e2990;  1 drivers
v0x7fd8375d8f10_0 .net *"_s268", 3 0, L_0x7fd8375e2af0;  1 drivers
v0x7fd8375d8fc0_0 .net *"_s27", 1 0, L_0x7fd8375dd190;  1 drivers
v0x7fd8375d9070_0 .net *"_s271", 0 0, L_0x7fd8375e2730;  1 drivers
v0x7fd8375d9120_0 .net *"_s273", 0 0, L_0x7fd8375e27d0;  1 drivers
v0x7fd8375d91d0_0 .net *"_s274", 31 0, L_0x7fd8375e2870;  1 drivers
L_0x109b04d88 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d9280_0 .net *"_s277", 30 0, L_0x109b04d88;  1 drivers
L_0x109b04dd0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d9330_0 .net/2u *"_s278", 31 0, L_0x109b04dd0;  1 drivers
v0x7fd8375d93e0_0 .net *"_s28", 31 0, L_0x7fd8375dd280;  1 drivers
v0x7fd8375d9490_0 .net *"_s280", 0 0, L_0x7fd8375e2ed0;  1 drivers
L_0x109b04e18 .functor BUFT 1, C4<1100>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d9530_0 .net/2u *"_s282", 3 0, L_0x109b04e18;  1 drivers
L_0x109b04e60 .functor BUFT 1, C4<0011>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d95e0_0 .net/2u *"_s284", 3 0, L_0x109b04e60;  1 drivers
v0x7fd8375d9690_0 .net *"_s286", 3 0, L_0x7fd8375e2b90;  1 drivers
L_0x109b04ea8 .functor BUFT 1, C4<1111>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d9740_0 .net/2u *"_s288", 3 0, L_0x109b04ea8;  1 drivers
v0x7fd8375d97f0_0 .net *"_s290", 3 0, L_0x7fd8375e2cf0;  1 drivers
v0x7fd8375d98a0_0 .net *"_s296", 31 0, L_0x7fd8375e3470;  1 drivers
L_0x109b04f38 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d9950_0 .net *"_s299", 29 0, L_0x109b04f38;  1 drivers
L_0x109b04f80 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d9a00_0 .net/2u *"_s300", 31 0, L_0x109b04f80;  1 drivers
v0x7fd8375d9ab0_0 .net *"_s302", 0 0, L_0x7fd8375e3030;  1 drivers
v0x7fd8375d9b50_0 .net *"_s304", 0 0, L_0x7fd8375e3150;  1 drivers
v0x7fd8375d9bf0_0 .net *"_s309", 0 0, L_0x7fd8375e3510;  1 drivers
L_0x109b04170 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d9ca0_0 .net *"_s31", 29 0, L_0x109b04170;  1 drivers
L_0x109b041b8 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d9d50_0 .net/2u *"_s32", 31 0, L_0x109b041b8;  1 drivers
v0x7fd8375d9e00_0 .net *"_s330", 0 0, L_0x7fd8375e3a50;  1 drivers
v0x7fd8375d9ea0_0 .net *"_s332", 0 0, L_0x7fd8375e4030;  1 drivers
v0x7fd8375d9f40_0 .net *"_s333", 0 0, L_0x7fd8375e3d10;  1 drivers
v0x7fd8375d9fe0_0 .net *"_s336", 0 0, L_0x7fd8375e3e40;  1 drivers
v0x7fd8375da080_0 .net *"_s34", 0 0, L_0x7fd8375dd360;  1 drivers
v0x7fd8375da120_0 .net *"_s340", 0 0, L_0x7fd8375e5a20;  1 drivers
v0x7fd8375da1c0_0 .net *"_s341", 0 0, L_0x7fd8375e5540;  1 drivers
v0x7fd8375da260_0 .net *"_s344", 0 0, L_0x7fd8375e4120;  1 drivers
v0x7fd8375da310_0 .net *"_s345", 0 0, L_0x7fd8375e41c0;  1 drivers
v0x7fd8375da3b0_0 .net *"_s348", 1 0, L_0x7fd8375e4230;  1 drivers
v0x7fd8375da460_0 .net *"_s349", 31 0, L_0x7fd8375dfca0;  1 drivers
L_0x109b05370 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375da510_0 .net *"_s352", 29 0, L_0x109b05370;  1 drivers
L_0x109b053b8 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375da5c0_0 .net/2u *"_s353", 31 0, L_0x109b053b8;  1 drivers
v0x7fd8375da670_0 .net *"_s355", 0 0, L_0x7fd8375e4310;  1 drivers
v0x7fd8375da710_0 .net *"_s360", 0 0, L_0x7fd8375e5c90;  1 drivers
v0x7fd8375da7b0_0 .net *"_s361", 0 0, L_0x7fd8375e6360;  1 drivers
v0x7fd8375da850_0 .net *"_s364", 0 0, L_0x7fd8375e63d0;  1 drivers
v0x7fd8375da900_0 .net *"_s365", 0 0, L_0x7fd8375e6000;  1 drivers
v0x7fd8375da9a0_0 .net *"_s368", 1 0, L_0x7fd8375e6160;  1 drivers
v0x7fd8375daa50_0 .net *"_s369", 31 0, L_0x7fd8375e6200;  1 drivers
v0x7fd8375dab00_0 .net *"_s37", 7 0, L_0x7fd8375dd500;  1 drivers
L_0x109b05400 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375dabb0_0 .net *"_s372", 29 0, L_0x109b05400;  1 drivers
L_0x109b05448 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375dac60_0 .net/2u *"_s373", 31 0, L_0x109b05448;  1 drivers
v0x7fd8375dad10_0 .net *"_s375", 0 0, L_0x7fd8375e67f0;  1 drivers
v0x7fd8375dadb0_0 .net *"_s38", 31 0, L_0x7fd8375dd5a0;  1 drivers
v0x7fd8375dae60_0 .net *"_s383", 0 0, L_0x7fd8375e6470;  1 drivers
L_0x109b04200 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375daf10_0 .net *"_s41", 23 0, L_0x109b04200;  1 drivers
v0x7fd8375dafc0_0 .net *"_s43", 1 0, L_0x7fd8375dd730;  1 drivers
v0x7fd8375db070_0 .net *"_s44", 31 0, L_0x7fd8375dd850;  1 drivers
L_0x109b04248 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375db120_0 .net *"_s47", 29 0, L_0x109b04248;  1 drivers
L_0x109b04290 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375db1d0_0 .net/2u *"_s48", 31 0, L_0x109b04290;  1 drivers
v0x7fd8375db280_0 .net *"_s50", 0 0, L_0x7fd8375dda30;  1 drivers
v0x7fd8375db320_0 .net *"_s53", 7 0, L_0x7fd8375ddad0;  1 drivers
v0x7fd8375db3d0_0 .net *"_s54", 31 0, L_0x7fd8375ddc00;  1 drivers
L_0x109b042d8 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375db480_0 .net *"_s57", 23 0, L_0x109b042d8;  1 drivers
v0x7fd8375db530_0 .net *"_s59", 7 0, L_0x7fd8375ddca0;  1 drivers
v0x7fd8375db5e0_0 .net *"_s60", 31 0, L_0x7fd8375dde60;  1 drivers
L_0x109b04320 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375db690_0 .net *"_s63", 23 0, L_0x109b04320;  1 drivers
v0x7fd8375db740_0 .net *"_s64", 31 0, L_0x7fd8375ddf00;  1 drivers
v0x7fd8375db7f0_0 .net *"_s66", 31 0, L_0x7fd8375de090;  1 drivers
v0x7fd8375db8a0_0 .net *"_s68", 31 0, L_0x7fd8375de1f0;  1 drivers
v0x7fd8375db950_0 .net *"_s71", 0 0, L_0x7fd8375de390;  1 drivers
v0x7fd8375dba00_0 .net *"_s73", 0 0, L_0x7fd8375de430;  1 drivers
v0x7fd8375dbab0_0 .net *"_s74", 31 0, L_0x7fd8375de5a0;  1 drivers
L_0x109b04368 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375dbb60_0 .net *"_s77", 30 0, L_0x109b04368;  1 drivers
L_0x109b043b0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375dbc10_0 .net/2u *"_s78", 31 0, L_0x109b043b0;  1 drivers
v0x7fd8375dbcc0_0 .net *"_s80", 0 0, L_0x7fd8375de640;  1 drivers
v0x7fd8375dbd60_0 .net *"_s83", 15 0, L_0x7fd8375de800;  1 drivers
v0x7fd8375dbe10_0 .net *"_s84", 31 0, L_0x7fd8375de4d0;  1 drivers
L_0x109b043f8 .functor BUFT 1, C4<0000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375dbec0_0 .net *"_s87", 15 0, L_0x109b043f8;  1 drivers
v0x7fd8375dbf70_0 .net *"_s89", 15 0, L_0x7fd8375de720;  1 drivers
v0x7fd8375dc020_0 .net *"_s9", 0 0, L_0x7fd8375dca30;  1 drivers
v0x7fd8375dc0d0_0 .net *"_s90", 31 0, L_0x7fd8375dea10;  1 drivers
L_0x109b04440 .functor BUFT 1, C4<0000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375dc180_0 .net *"_s93", 15 0, L_0x109b04440;  1 drivers
v0x7fd8375dc230_0 .net *"_s94", 31 0, L_0x7fd8375de8e0;  1 drivers
v0x7fd8375dc2e0_0 .net *"_s96", 31 0, L_0x7fd8375dec70;  1 drivers
v0x7fd8375dc390_0 .var/i "i", 31 0;
E_0x7fd83757e300 .event posedge, v0x7fd8375d52d0_0;
L_0x7fd8375dc870 .part v0x7fd8375d4470_0, 7, 1;
L_0x7fd8375dc910 .functor MUXZ 32, v0x7fd8375d49f0_0, v0x7fd8375d3f00_0, v0x7fd8375d3e50_0, C4<>;
L_0x7fd8375dca30 .part L_0x7fd8375f1670, 0, 1;
L_0x7fd8375dcb30 .part L_0x7fd8375f0ae0, 0, 2;
L_0x7fd8375dcc30 .concat [ 2 30 0 0], L_0x7fd8375dcb30, L_0x109b04098;
L_0x7fd8375dcdd0 .cmp/eq 32, L_0x7fd8375dcc30, L_0x109b040e0;
L_0x7fd8375dcf10 .part L_0x7fd8375e35b0, 24, 8;
L_0x7fd8375dcff0 .concat [ 8 24 0 0], L_0x7fd8375dcf10, L_0x109b04128;
L_0x7fd8375dd190 .part L_0x7fd8375f0ae0, 0, 2;
L_0x7fd8375dd280 .concat [ 2 30 0 0], L_0x7fd8375dd190, L_0x109b04170;
L_0x7fd8375dd360 .cmp/eq 32, L_0x7fd8375dd280, L_0x109b041b8;
L_0x7fd8375dd500 .part L_0x7fd8375e35b0, 16, 8;
L_0x7fd8375dd5a0 .concat [ 8 24 0 0], L_0x7fd8375dd500, L_0x109b04200;
L_0x7fd8375dd730 .part L_0x7fd8375f0ae0, 0, 2;
L_0x7fd8375dd850 .concat [ 2 30 0 0], L_0x7fd8375dd730, L_0x109b04248;
L_0x7fd8375dda30 .cmp/eq 32, L_0x7fd8375dd850, L_0x109b04290;
L_0x7fd8375ddad0 .part L_0x7fd8375e35b0, 8, 8;
L_0x7fd8375ddc00 .concat [ 8 24 0 0], L_0x7fd8375ddad0, L_0x109b042d8;
L_0x7fd8375ddca0 .part L_0x7fd8375e35b0, 0, 8;
L_0x7fd8375dde60 .concat [ 8 24 0 0], L_0x7fd8375ddca0, L_0x109b04320;
L_0x7fd8375ddf00 .functor MUXZ 32, L_0x7fd8375dde60, L_0x7fd8375ddc00, L_0x7fd8375dda30, C4<>;
L_0x7fd8375de090 .functor MUXZ 32, L_0x7fd8375ddf00, L_0x7fd8375dd5a0, L_0x7fd8375dd360, C4<>;
L_0x7fd8375de1f0 .functor MUXZ 32, L_0x7fd8375de090, L_0x7fd8375dcff0, L_0x7fd8375dcdd0, C4<>;
L_0x7fd8375de390 .part L_0x7fd8375f1670, 1, 1;
L_0x7fd8375de430 .part L_0x7fd8375f0ae0, 1, 1;
L_0x7fd8375de5a0 .concat [ 1 31 0 0], L_0x7fd8375de430, L_0x109b04368;
L_0x7fd8375de640 .cmp/eq 32, L_0x7fd8375de5a0, L_0x109b043b0;
L_0x7fd8375de800 .part L_0x7fd8375e35b0, 16, 16;
L_0x7fd8375de4d0 .concat [ 16 16 0 0], L_0x7fd8375de800, L_0x109b043f8;
L_0x7fd8375de720 .part L_0x7fd8375e35b0, 0, 16;
L_0x7fd8375dea10 .concat [ 16 16 0 0], L_0x7fd8375de720, L_0x109b04440;
L_0x7fd8375de8e0 .functor MUXZ 32, L_0x7fd8375dea10, L_0x7fd8375de4d0, L_0x7fd8375de640, C4<>;
L_0x7fd8375dec70 .functor MUXZ 32, L_0x7fd8375e35b0, L_0x7fd8375de8e0, L_0x7fd8375de390, C4<>;
L_0x7fd8375dee20 .functor MUXZ 32, L_0x7fd8375dec70, L_0x7fd8375de1f0, L_0x7fd8375dca30, C4<>;
L_0x7fd8375def40 .part L_0x7fd8375f1670, 0, 1;
L_0x7fd8375ded10 .part L_0x7fd8375f0ae0, 0, 2;
L_0x7fd8375df180 .concat [ 2 30 0 0], L_0x7fd8375ded10, L_0x109b04488;
L_0x7fd8375df350 .cmp/eq 32, L_0x7fd8375df180, L_0x109b044d0;
L_0x7fd8375df3f0 .part L_0x7fd8375f0990, 0, 8;
L_0x7fd8375df220 .concat [ 24 8 0 0], L_0x109b04518, L_0x7fd8375df3f0;
L_0x7fd8375df610 .part L_0x7fd8375f0ae0, 0, 2;
L_0x7fd8375df4d0 .concat [ 2 30 0 0], L_0x7fd8375df610, L_0x109b04560;
L_0x7fd8375df800 .cmp/eq 32, L_0x7fd8375df4d0, L_0x109b045a8;
L_0x7fd8375df6b0 .part L_0x7fd8375f0990, 0, 8;
L_0x7fd8375df750 .concat [ 16 8 8 0], L_0x109b04638, L_0x7fd8375df6b0, L_0x109b045f0;
L_0x7fd8375df920 .part L_0x7fd8375f0ae0, 0, 2;
L_0x7fd8375df9c0 .concat [ 2 30 0 0], L_0x7fd8375df920, L_0x109b04680;
L_0x7fd8375dfb40 .cmp/eq 32, L_0x7fd8375df9c0, L_0x109b046c8;
L_0x7fd8375dff30 .part L_0x7fd8375f0990, 0, 8;
L_0x7fd8375dfdb0 .concat [ 8 8 16 0], L_0x109b04758, L_0x7fd8375dff30, L_0x109b04710;
L_0x7fd8375e01e0 .part L_0x7fd8375f0990, 0, 8;
L_0x7fd8375e0050 .concat [ 8 24 0 0], L_0x7fd8375e01e0, L_0x109b047a0;
L_0x7fd8375e0130 .functor MUXZ 32, L_0x7fd8375e0050, L_0x7fd8375dfdb0, L_0x7fd8375dfb40, C4<>;
L_0x7fd8375e04f0 .functor MUXZ 32, L_0x7fd8375e0130, L_0x7fd8375df750, L_0x7fd8375df800, C4<>;
L_0x7fd8375e0650 .functor MUXZ 32, L_0x7fd8375e04f0, L_0x7fd8375df220, L_0x7fd8375df350, C4<>;
L_0x7fd8375e0340 .part L_0x7fd8375f1670, 1, 1;
L_0x7fd8375e08b0 .part L_0x7fd8375f0ae0, 1, 1;
L_0x7fd8375e06f0 .concat [ 1 31 0 0], L_0x7fd8375e08b0, L_0x109b047e8;
L_0x7fd8375e07d0 .cmp/eq 32, L_0x7fd8375e06f0, L_0x109b04830;
L_0x7fd8375e0950 .part L_0x7fd8375f0990, 0, 16;
L_0x7fd8375e09f0 .concat [ 16 16 0 0], L_0x109b04878, L_0x7fd8375e0950;
L_0x7fd8375e0d50 .part L_0x7fd8375f0990, 0, 16;
L_0x7fd8375e0df0 .concat [ 16 16 0 0], L_0x7fd8375e0d50, L_0x109b048c0;
L_0x7fd8375e0b60 .functor MUXZ 32, L_0x7fd8375e0df0, L_0x7fd8375e09f0, L_0x7fd8375e07d0, C4<>;
L_0x7fd8375e1110 .functor MUXZ 32, L_0x7fd8375f0990, L_0x7fd8375e0b60, L_0x7fd8375e0340, C4<>;
L_0x7fd8375e1010 .functor MUXZ 32, L_0x7fd8375e1110, L_0x7fd8375e0650, L_0x7fd8375def40, C4<>;
L_0x7fd8375df060 .cmp/ne 3, L_0x7fd8375f1670, L_0x109b04908;
L_0x7fd8375e11b0 .concat [ 1 31 0 0], L_0x7fd8375f0be0, L_0x109b04950;
L_0x7fd8375e12d0 .cmp/eq 32, L_0x7fd8375e11b0, L_0x109b04998;
L_0x7fd8375e16f0 .cmp/ne 3, L_0x7fd8375f1670, L_0x109b049e0;
L_0x7fd8375e1a90 .concat [ 1 31 0 0], L_0x7fd8375f0be0, L_0x109b04a28;
L_0x7fd8375e1860 .cmp/eq 32, L_0x7fd8375e1a90, L_0x109b04a70;
L_0x7fd8375e1d70 .part L_0x7fd8375f1670, 0, 1;
L_0x7fd8375e1b30 .part L_0x7fd8375f0ae0, 0, 2;
L_0x7fd8375e1bd0 .concat [ 2 30 0 0], L_0x7fd8375e1b30, L_0x109b04ab8;
L_0x7fd8375e1c70 .cmp/eq 32, L_0x7fd8375e1bd0, L_0x109b04b00;
L_0x7fd8375e21b0 .part L_0x7fd8375f0ae0, 0, 2;
L_0x7fd8375e1f10 .concat [ 2 30 0 0], L_0x7fd8375e21b0, L_0x109b04b90;
L_0x7fd8375e2030 .cmp/eq 32, L_0x7fd8375e1f10, L_0x109b04bd8;
L_0x7fd8375e24d0 .part L_0x7fd8375f0ae0, 0, 2;
L_0x7fd8375e2570 .concat [ 2 30 0 0], L_0x7fd8375e24d0, L_0x109b04c68;
L_0x7fd8375e2290 .cmp/eq 32, L_0x7fd8375e2570, L_0x109b04cb0;
L_0x7fd8375e23d0 .functor MUXZ 4, L_0x109b04d40, L_0x109b04cf8, L_0x7fd8375e2290, C4<>;
L_0x7fd8375e2990 .functor MUXZ 4, L_0x7fd8375e23d0, L_0x109b04c20, L_0x7fd8375e2030, C4<>;
L_0x7fd8375e2af0 .functor MUXZ 4, L_0x7fd8375e2990, L_0x109b04b48, L_0x7fd8375e1c70, C4<>;
L_0x7fd8375e2730 .part L_0x7fd8375f1670, 1, 1;
L_0x7fd8375e27d0 .part L_0x7fd8375f0ae0, 1, 1;
L_0x7fd8375e2870 .concat [ 1 31 0 0], L_0x7fd8375e27d0, L_0x109b04d88;
L_0x7fd8375e2ed0 .cmp/eq 32, L_0x7fd8375e2870, L_0x109b04dd0;
L_0x7fd8375e2b90 .functor MUXZ 4, L_0x109b04e60, L_0x109b04e18, L_0x7fd8375e2ed0, C4<>;
L_0x7fd8375e2cf0 .functor MUXZ 4, L_0x109b04ea8, L_0x7fd8375e2b90, L_0x7fd8375e2730, C4<>;
L_0x7fd8375e32d0 .functor MUXZ 4, L_0x7fd8375e2cf0, L_0x7fd8375e2af0, L_0x7fd8375e1d70, C4<>;
L_0x7fd8375e3470 .concat [ 2 30 0 0], v0x7fd8375d3780_0, L_0x109b04f38;
L_0x7fd8375e3030 .cmp/ne 32, L_0x7fd8375e3470, L_0x109b04f80;
L_0x7fd8375e3800 .reduce/nor L_0x7fd8375e3150;
L_0x7fd8375e3510 .part L_0x7fd8375f0ae0, 31, 1;
L_0x7fd8375e35b0 .functor MUXZ 32, v0x7fd8375d47d0_0, v0x7fd8375d4310_0, L_0x7fd8375e3510, C4<>;
L_0x7fd8375e3750 .concat [ 8 8 8 8], L_0x109b04fc8, L_0x109b05010, L_0x109b05058, L_0x7fd8375e3980;
L_0x7fd8375e3c30 .concat [ 16 16 0 0], v0x7fd8375d4680_0, v0x7fd8375d3d30_0;
L_0x7fd8375e3a50 .reduce/nor L_0x109b04050;
L_0x7fd8375e4030 .reduce/nor L_0x7fd8375e3800;
L_0x7fd8375e3e40 .reduce/nor L_0x109b04ef0;
L_0x7fd8375e5a20 .reduce/nor L_0x7fd8375e3f20;
L_0x7fd8375e4120 .part L_0x7fd8375f0ae0, 31, 1;
L_0x7fd8375e4230 .part L_0x7fd8375f0ae0, 2, 2;
L_0x7fd8375dfca0 .concat [ 2 30 0 0], L_0x7fd8375e4230, L_0x109b05370;
L_0x7fd8375e4310 .cmp/eq 32, L_0x7fd8375dfca0, L_0x109b053b8;
L_0x7fd8375e5c90 .reduce/nor L_0x7fd8375e3f20;
L_0x7fd8375e63d0 .part L_0x7fd8375f0ae0, 31, 1;
L_0x7fd8375e6160 .part L_0x7fd8375f0ae0, 2, 2;
L_0x7fd8375e6200 .concat [ 2 30 0 0], L_0x7fd8375e6160, L_0x109b05400;
L_0x7fd8375e67f0 .cmp/eq 32, L_0x7fd8375e6200, L_0x109b05448;
L_0x7fd8375f1e80 .part v0x7fd8375d4680_0, 0, 4;
L_0x7fd8375e6470 .part v0x7fd8375d3d30_0, 0, 1;
L_0x7fd8375e6550 .concat [ 1 1 1 1], L_0x7fd8375e1600, L_0x7fd8375e1980, v0x7fd8375d5400_0, L_0x7fd8375e6470;
S_0x7fd837572930 .scope module, "core0" "darkriscv" 3 713, 4 55 0, S_0x7fd837573a70;
 .timescale -9 -12;
    .port_info 0 /INPUT 1 "CLK"
    .port_info 1 /INPUT 1 "RES"
    .port_info 2 /INPUT 1 "HLT"
    .port_info 3 /INPUT 32 "IDATA"
    .port_info 4 /OUTPUT 32 "IADDR"
    .port_info 5 /INPUT 32 "DATAI"
    .port_info 6 /OUTPUT 32 "DATAO"
    .port_info 7 /OUTPUT 32 "DADDR"
    .port_info 8 /OUTPUT 3 "DLEN"
    .port_info 9 /OUTPUT 1 "RW"
    .port_info 10 /INPUT 1 "FINISH_REQ"
    .port_info 11 /OUTPUT 4 "DEBUG"
L_0x7fd8375e8b80 .functor BUFZ 32, L_0x7fd8375e8c70, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fd8375e8e30 .functor BUFZ 32, L_0x7fd8375e8f30, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fd8375e9130 .functor BUFZ 32, L_0x7fd8375e9200, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fd8375e93e0 .functor BUFZ 32, L_0x7fd8375e94c0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fd8375e9c50 .functor AND 1, L_0x7fd8375e9d00, L_0x7fd8375e9bb0, C4<1>, C4<1>;
L_0x7fd8375eaa60 .functor AND 1, L_0x7fd8375ea860, L_0x7fd8375ea9c0, C4<1>, C4<1>;
L_0x7fd8375eb530 .functor BUFZ 32, L_0x7fd8375e93e0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fd8375eb7f0 .functor AND 32, L_0x7fd8375e9130, L_0x7fd8375eb630, C4<11111111111111111111111111111111>, C4<11111111111111111111111111111111>;
L_0x7fd8375eba40 .functor OR 32, L_0x7fd8375e9130, L_0x7fd8375eb630, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fd8375ebd40 .functor XOR 32, L_0x7fd8375e9130, L_0x7fd8375eb630, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fd8375ec9b0 .functor AND 1, v0x7fd8375c74a0_0, L_0x7fd8375ec8d0, C4<1>, C4<1>;
L_0x7fd8375efcd0 .functor XOR 32, L_0x7fd8375e9130, L_0x7fd8375eb630, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fd8375ef9e0 .functor XOR 32, L_0x7fd8375e9130, L_0x7fd8375eb630, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fd8375f0510 .functor AND 1, L_0x7fd8375ee190, L_0x7fd8375f0430, C4<1>, C4<1>;
L_0x7fd8375f0600 .functor OR 1, L_0x7fd8375e79f0, L_0x7fd8375e7c50, C4<0>, C4<0>;
L_0x7fd8375f0780 .functor OR 1, L_0x7fd8375f0600, L_0x7fd8375f0510, C4<0>, C4<0>;
L_0x7fd8375f0990 .functor BUFZ 32, L_0x7fd8375eb530, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fd8375f0d00 .functor OR 1, L_0x7fd8375e8430, L_0x7fd8375e80e0, C4<0>, C4<0>;
L_0x7fd8375f1010 .functor AND 1, L_0x7fd8375f0d00, L_0x7fd8375f0ef0, C4<1>, C4<1>;
L_0x7fd8375f11b0 .functor OR 1, L_0x7fd8375e8430, L_0x7fd8375e80e0, C4<0>, C4<0>;
L_0x7fd8375f14c0 .functor AND 1, L_0x7fd8375f11b0, L_0x7fd8375f13a0, C4<1>, C4<1>;
L_0x7fd8375f1140 .functor OR 1, L_0x7fd8375e8430, L_0x7fd8375e80e0, C4<0>, C4<0>;
L_0x7fd8375f1a70 .functor AND 1, L_0x7fd8375f1140, L_0x7fd8375f1950, C4<1>, C4<1>;
L_0x7fd8375f1c70 .functor BUFZ 32, v0x7fd8375c5f30_0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x109b05490 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd83752d000_0 .net "ALL0", 31 0, L_0x109b05490;  1 drivers
L_0x109b054d8 .functor BUFT 1, C4<11111111111111111111111111111111>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c4ac0_0 .net "ALL1", 31 0, L_0x109b054d8;  1 drivers
v0x7fd8375c4b60_0 .net "AUIPC", 0 0, L_0x7fd8375e7760;  1 drivers
v0x7fd8375c4c10_0 .net "BCC", 0 0, L_0x7fd8375e7ea0;  1 drivers
v0x7fd8375c4ca0_0 .net "BMUX", 0 0, L_0x7fd8375f0510;  1 drivers
L_0x109b05eb0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c4d80_0 .net "CDATA", 31 0, L_0x109b05eb0;  1 drivers
v0x7fd8375c4e30_0 .net "CLK", 0 0, L_0x7fd8375dc7c0;  alias, 1 drivers
v0x7fd8375c4ed0_0 .net "DADDR", 31 0, L_0x7fd8375f0ae0;  alias, 1 drivers
v0x7fd8375c4f80_0 .net "DATAI", 31 0, L_0x7fd8375dee20;  alias, 1 drivers
v0x7fd8375c5090_0 .net "DATAO", 31 0, L_0x7fd8375f0990;  alias, 1 drivers
v0x7fd8375c5140_0 .net "DEBUG", 3 0, L_0x7fd8375f1d20;  alias, 1 drivers
v0x7fd8375c51f0_0 .net "DLEN", 2 0, L_0x7fd8375f1670;  alias, 1 drivers
v0x7fd8375c52a0_0 .net "DPTR", 3 0, L_0x7fd8375e6a60;  1 drivers
v0x7fd8375c5350_0 .net "FCT3", 2 0, L_0x7fd8375e7150;  1 drivers
v0x7fd8375c5400_0 .net "FCT7", 6 0, L_0x7fd8375e71f0;  1 drivers
v0x7fd8375c54b0_0 .net "FINISH_REQ", 0 0, v0x7fd8375d1080_0;  alias, 1 drivers
v0x7fd8375c5550_0 .var "FLUSH", 1 0;
v0x7fd8375c56e0_0 .net "HLT", 0 0, L_0x7fd8375e3f20;  alias, 1 drivers
v0x7fd8375c5770_0 .net "IADDR", 31 0, L_0x7fd8375f1c70;  alias, 1 drivers
v0x7fd8375c5810_0 .net "IDATA", 31 0, L_0x7fd8375dc910;  alias, 1 drivers
v0x7fd8375c58c0_0 .net "JAL", 0 0, L_0x7fd8375e79f0;  1 drivers
v0x7fd8375c5960_0 .net "JALR", 0 0, L_0x7fd8375e7c50;  1 drivers
v0x7fd8375c5a00_0 .net "JREQ", 0 0, L_0x7fd8375f0780;  1 drivers
v0x7fd8375c5aa0_0 .net "JVAL", 31 0, L_0x7fd8375f08f0;  1 drivers
v0x7fd8375c5b50_0 .net "LCC", 0 0, L_0x7fd8375e80e0;  1 drivers
v0x7fd8375c5bf0_0 .net "LDATA", 31 0, L_0x7fd8375eaf70;  1 drivers
v0x7fd8375c5ca0_0 .net "LUI", 0 0, L_0x7fd8375e7520;  1 drivers
v0x7fd8375c5d40_0 .net "MAC", 0 0, L_0x7fd8375e8ae0;  1 drivers
v0x7fd8375c5de0_0 .net "MCC", 0 0, L_0x7fd8375e8630;  1 drivers
v0x7fd8375c5e80_0 .var "NXPC", 31 0;
v0x7fd8375c5f30_0 .var "NXPC2", 31 0;
v0x7fd8375c5fe0_0 .net "OPCODE", 6 0, L_0x7fd8375e6fd0;  1 drivers
v0x7fd8375c6090_0 .var "PC", 31 0;
v0x7fd8375c5600_0 .net "RCC", 0 0, L_0x7fd8375e8880;  1 drivers
v0x7fd8375c6320 .array "REG1", 15 0, 31 0;
v0x7fd8375c63b0 .array "REG2", 15 0, 31 0;
v0x7fd8375c6440_0 .net "RES", 0 0, L_0x7fd8375dc870;  alias, 1 drivers
v0x7fd8375c64d0_0 .var "RESMODE", 3 0;
v0x7fd8375c6570_0 .net "RMDATA", 31 0, L_0x7fd8375ee4c0;  1 drivers
v0x7fd8375c6620_0 .net "RW", 0 0, L_0x7fd8375f0be0;  alias, 1 drivers
v0x7fd8375c66c0_0 .net "S1PTR", 3 0, L_0x7fd8375e6b80;  1 drivers
v0x7fd8375c6770_0 .net/s "S1REG", 31 0, L_0x7fd8375e8b80;  1 drivers
v0x7fd8375c6820_0 .net "S2PTR", 3 0, L_0x7fd8375e6c80;  1 drivers
v0x7fd8375c68d0_0 .net/s "S2REG", 31 0, L_0x7fd8375e8e30;  1 drivers
v0x7fd8375c6980_0 .net/s "S2REGX", 31 0, L_0x7fd8375eb630;  1 drivers
v0x7fd8375c6a30_0 .net "SCC", 0 0, L_0x7fd8375e8430;  1 drivers
v0x7fd8375c6ad0_0 .net "SDATA", 31 0, L_0x7fd8375eb530;  1 drivers
v0x7fd8375c6b80_0 .net "SIMM", 31 0, v0x7fd8375c7680_0;  1 drivers
v0x7fd8375c6c30_0 .net "U1REG", 31 0, L_0x7fd8375e9130;  1 drivers
v0x7fd8375c6ce0_0 .net "U2REG", 31 0, L_0x7fd8375e93e0;  1 drivers
v0x7fd8375c6d90_0 .net "U2REGX", 31 0, L_0x7fd8375eb750;  1 drivers
v0x7fd8375c6e40_0 .net "UIMM", 31 0, v0x7fd8375c6140_0;  1 drivers
v0x7fd8375c6ef0_0 .var "XAUIPC", 0 0;
v0x7fd8375c6f90_0 .var "XBCC", 0 0;
v0x7fd8375c7030_0 .var "XIDATA", 31 0;
v0x7fd8375c70e0_0 .var "XJAL", 0 0;
v0x7fd8375c7180_0 .var "XJALR", 0 0;
v0x7fd8375c7220_0 .var "XLCC", 0 0;
v0x7fd8375c72c0_0 .var "XLUI", 0 0;
v0x7fd8375c7360_0 .var "XMAC", 0 0;
v0x7fd8375c7400_0 .var "XMCC", 0 0;
v0x7fd8375c74a0_0 .var "XRCC", 0 0;
v0x7fd8375c7540_0 .var "XRES", 0 0;
v0x7fd8375c75e0_0 .var "XSCC", 0 0;
v0x7fd8375c7680_0 .var "XSIMM", 31 0;
v0x7fd8375c6140_0 .var "XUIMM", 31 0;
L_0x109b05ac0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c61f0_0 .net/2u *"_s102", 1 0, L_0x109b05ac0;  1 drivers
v0x7fd8375c7710_0 .net *"_s104", 0 0, L_0x7fd8375e8a00;  1 drivers
L_0x109b05b08 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c77a0_0 .net/2u *"_s106", 0 0, L_0x109b05b08;  1 drivers
v0x7fd8375c7830_0 .net *"_s110", 31 0, L_0x7fd8375e8c70;  1 drivers
v0x7fd8375c78c0_0 .net *"_s112", 5 0, L_0x7fd8375e8d10;  1 drivers
L_0x109b05b50 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c7950_0 .net *"_s115", 1 0, L_0x109b05b50;  1 drivers
v0x7fd8375c79f0_0 .net *"_s118", 31 0, L_0x7fd8375e8f30;  1 drivers
L_0x109b05520 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c7aa0_0 .net/2u *"_s12", 1 0, L_0x109b05520;  1 drivers
v0x7fd8375c7b50_0 .net *"_s120", 5 0, L_0x7fd8375e8fd0;  1 drivers
L_0x109b05b98 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c7c00_0 .net *"_s123", 1 0, L_0x109b05b98;  1 drivers
v0x7fd8375c7cb0_0 .net *"_s126", 31 0, L_0x7fd8375e9200;  1 drivers
v0x7fd8375c7d60_0 .net *"_s128", 5 0, L_0x7fd8375e92a0;  1 drivers
L_0x109b05be0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c7e10_0 .net *"_s131", 1 0, L_0x109b05be0;  1 drivers
v0x7fd8375c7ec0_0 .net *"_s134", 31 0, L_0x7fd8375e94c0;  1 drivers
v0x7fd8375c7f70_0 .net *"_s136", 5 0, L_0x7fd8375e9560;  1 drivers
L_0x109b05c28 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c8020_0 .net *"_s139", 1 0, L_0x109b05c28;  1 drivers
v0x7fd8375c80d0_0 .net *"_s14", 0 0, L_0x7fd8375e6d60;  1 drivers
v0x7fd8375c8170_0 .net *"_s143", 1 0, L_0x7fd8375e9790;  1 drivers
v0x7fd8375c8220_0 .net *"_s144", 31 0, L_0x7fd8375e9870;  1 drivers
L_0x109b05c70 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c82d0_0 .net *"_s147", 29 0, L_0x109b05c70;  1 drivers
L_0x109b05cb8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c8380_0 .net/2u *"_s148", 31 0, L_0x109b05cb8;  1 drivers
v0x7fd8375c8430_0 .net *"_s150", 0 0, L_0x7fd8375e96a0;  1 drivers
v0x7fd8375c84d0_0 .net *"_s153", 0 0, L_0x7fd8375e9b10;  1 drivers
v0x7fd8375c8580_0 .net *"_s154", 31 0, L_0x7fd8375e9950;  1 drivers
L_0x109b05d00 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c8630_0 .net *"_s157", 30 0, L_0x109b05d00;  1 drivers
L_0x109b05d48 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c86e0_0 .net/2u *"_s158", 31 0, L_0x109b05d48;  1 drivers
L_0x109b05568 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c8790_0 .net/2u *"_s16", 6 0, L_0x109b05568;  1 drivers
v0x7fd8375c8840_0 .net *"_s160", 0 0, L_0x7fd8375e9d00;  1 drivers
v0x7fd8375c88e0_0 .net *"_s163", 0 0, L_0x7fd8375e9bb0;  1 drivers
v0x7fd8375c8990_0 .net *"_s164", 0 0, L_0x7fd8375e9c50;  1 drivers
v0x7fd8375c8a30_0 .net *"_s167", 23 0, L_0x7fd8375ea040;  1 drivers
v0x7fd8375c8ae0_0 .net *"_s169", 23 0, L_0x7fd8375e9e20;  1 drivers
v0x7fd8375c8b90_0 .net *"_s170", 23 0, L_0x7fd8375ea290;  1 drivers
v0x7fd8375c8c40_0 .net *"_s173", 7 0, L_0x7fd8375ea120;  1 drivers
v0x7fd8375c8cf0_0 .net *"_s174", 31 0, L_0x7fd8375ea4b0;  1 drivers
v0x7fd8375c8da0_0 .net *"_s177", 1 0, L_0x7fd8375ea330;  1 drivers
v0x7fd8375c8e50_0 .net *"_s178", 31 0, L_0x7fd8375ea6e0;  1 drivers
L_0x109b05d90 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c8f00_0 .net *"_s181", 29 0, L_0x109b05d90;  1 drivers
L_0x109b05dd8 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c8fb0_0 .net/2u *"_s182", 31 0, L_0x109b05dd8;  1 drivers
v0x7fd8375c9060_0 .net *"_s184", 0 0, L_0x7fd8375ea550;  1 drivers
v0x7fd8375c9100_0 .net *"_s187", 0 0, L_0x7fd8375ea920;  1 drivers
v0x7fd8375c91b0_0 .net *"_s188", 31 0, L_0x7fd8375ea780;  1 drivers
v0x7fd8375c9260_0 .net *"_s19", 6 0, L_0x7fd8375e6eb0;  1 drivers
L_0x109b05e20 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c9310_0 .net *"_s191", 30 0, L_0x109b05e20;  1 drivers
L_0x109b05e68 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c93c0_0 .net/2u *"_s192", 31 0, L_0x109b05e68;  1 drivers
v0x7fd8375c9470_0 .net *"_s194", 0 0, L_0x7fd8375ea860;  1 drivers
v0x7fd8375c9510_0 .net *"_s197", 0 0, L_0x7fd8375ea9c0;  1 drivers
v0x7fd8375c95c0_0 .net *"_s198", 0 0, L_0x7fd8375eaa60;  1 drivers
v0x7fd8375c9660_0 .net *"_s201", 15 0, L_0x7fd8375eadb0;  1 drivers
v0x7fd8375c9710_0 .net *"_s203", 15 0, L_0x7fd8375eabf0;  1 drivers
v0x7fd8375c97c0_0 .net *"_s204", 15 0, L_0x7fd8375eac90;  1 drivers
v0x7fd8375c9870_0 .net *"_s207", 15 0, L_0x7fd8375eb0b0;  1 drivers
v0x7fd8375c9920_0 .net *"_s208", 31 0, L_0x7fd8375eb150;  1 drivers
v0x7fd8375c99d0_0 .net *"_s210", 31 0, L_0x7fd8375eae50;  1 drivers
v0x7fd8375c9a80_0 .net *"_s222", 31 0, L_0x7fd8375eb2b0;  1 drivers
L_0x109b05ef8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c9b30_0 .net *"_s225", 28 0, L_0x109b05ef8;  1 drivers
L_0x109b05f40 .functor BUFT 1, C4<00000000000000000000000000000111>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c9be0_0 .net/2u *"_s226", 31 0, L_0x109b05f40;  1 drivers
v0x7fd8375c9c90_0 .net *"_s228", 0 0, L_0x7fd8375eb390;  1 drivers
v0x7fd8375c9d30_0 .net *"_s230", 31 0, L_0x7fd8375eb7f0;  1 drivers
v0x7fd8375c9de0_0 .net *"_s232", 31 0, L_0x7fd8375eb8e0;  1 drivers
L_0x109b05f88 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c9e90_0 .net *"_s235", 28 0, L_0x109b05f88;  1 drivers
L_0x109b05fd0 .functor BUFT 1, C4<00000000000000000000000000000110>, C4<0>, C4<0>, C4<0>;
v0x7fd8375c9f40_0 .net/2u *"_s236", 31 0, L_0x109b05fd0;  1 drivers
v0x7fd8375c9ff0_0 .net *"_s238", 0 0, L_0x7fd8375ebc60;  1 drivers
v0x7fd8375ca090_0 .net *"_s240", 31 0, L_0x7fd8375eba40;  1 drivers
v0x7fd8375ca140_0 .net *"_s242", 31 0, L_0x7fd8375ebb10;  1 drivers
L_0x109b06018 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375ca1f0_0 .net *"_s245", 28 0, L_0x109b06018;  1 drivers
L_0x109b06060 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>;
v0x7fd8375ca2a0_0 .net/2u *"_s246", 31 0, L_0x109b06060;  1 drivers
v0x7fd8375ca350_0 .net *"_s248", 0 0, L_0x7fd8375ebbb0;  1 drivers
v0x7fd8375ca3f0_0 .net *"_s250", 31 0, L_0x7fd8375ebd40;  1 drivers
v0x7fd8375ca4a0_0 .net *"_s252", 31 0, L_0x7fd8375ebeb0;  1 drivers
L_0x109b060a8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375ca550_0 .net *"_s255", 28 0, L_0x109b060a8;  1 drivers
L_0x109b060f0 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>;
v0x7fd8375ca600_0 .net/2u *"_s256", 31 0, L_0x109b060f0;  1 drivers
v0x7fd8375ca6b0_0 .net *"_s258", 0 0, L_0x7fd8375ec2f0;  1 drivers
v0x7fd8375ca750_0 .net *"_s260", 0 0, L_0x7fd8375ec0b0;  1 drivers
L_0x109b06138 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375ca7f0_0 .net/2u *"_s262", 31 0, L_0x109b06138;  1 drivers
L_0x109b06180 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375ca8a0_0 .net/2u *"_s264", 31 0, L_0x109b06180;  1 drivers
v0x7fd8375ca950_0 .net *"_s266", 31 0, L_0x7fd8375ec190;  1 drivers
v0x7fd8375caa00_0 .net *"_s268", 31 0, L_0x7fd8375ec6d0;  1 drivers
L_0x109b061c8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375caab0_0 .net *"_s271", 28 0, L_0x109b061c8;  1 drivers
L_0x109b06210 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cab60_0 .net/2u *"_s272", 31 0, L_0x109b06210;  1 drivers
v0x7fd8375cac10_0 .net *"_s274", 0 0, L_0x7fd8375ec7b0;  1 drivers
v0x7fd8375cacb0_0 .net *"_s276", 0 0, L_0x7fd8375ec430;  1 drivers
L_0x109b06258 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cad50_0 .net/2u *"_s278", 31 0, L_0x109b06258;  1 drivers
L_0x109b062a0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cae00_0 .net/2u *"_s280", 31 0, L_0x109b062a0;  1 drivers
v0x7fd8375caeb0_0 .net *"_s282", 31 0, L_0x7fd8375ec510;  1 drivers
v0x7fd8375caf60_0 .net *"_s284", 31 0, L_0x7fd8375ecb50;  1 drivers
L_0x109b062e8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cb010_0 .net *"_s287", 28 0, L_0x109b062e8;  1 drivers
L_0x109b06330 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cb0c0_0 .net/2u *"_s288", 31 0, L_0x109b06330;  1 drivers
v0x7fd8375cb170_0 .net *"_s290", 0 0, L_0x7fd8375ecc30;  1 drivers
v0x7fd8375cb210_0 .net *"_s293", 0 0, L_0x7fd8375ec8d0;  1 drivers
v0x7fd8375cb2c0_0 .net *"_s294", 0 0, L_0x7fd8375ec9b0;  1 drivers
v0x7fd8375cb360_0 .net *"_s296", 31 0, L_0x7fd8375ed000;  1 drivers
v0x7fd8375cb410_0 .net *"_s298", 31 0, L_0x7fd8375ecd70;  1 drivers
L_0x109b055b0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cb4c0_0 .net/2u *"_s30", 1 0, L_0x109b055b0;  1 drivers
v0x7fd8375cb570_0 .net *"_s300", 31 0, L_0x7fd8375ece10;  1 drivers
v0x7fd8375cb620_0 .net *"_s302", 31 0, L_0x7fd8375ecf30;  1 drivers
L_0x109b06378 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cb6d0_0 .net *"_s305", 28 0, L_0x109b06378;  1 drivers
L_0x109b063c0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cb780_0 .net/2u *"_s306", 31 0, L_0x109b063c0;  1 drivers
v0x7fd8375cb830_0 .net *"_s308", 0 0, L_0x7fd8375ed390;  1 drivers
v0x7fd8375cb8d0_0 .net *"_s311", 4 0, L_0x7fd8375ed0a0;  1 drivers
v0x7fd8375cb980_0 .net *"_s312", 31 0, L_0x7fd8375ed1c0;  1 drivers
v0x7fd8375cba30_0 .net *"_s315", 0 0, L_0x7fd8375ed260;  1 drivers
v0x7fd8375cbae0_0 .net *"_s317", 4 0, L_0x7fd8375ed4b0;  1 drivers
v0x7fd8375cbb90_0 .net *"_s318", 31 0, L_0x7fd8375ed550;  1 drivers
v0x7fd8375cbc40_0 .net *"_s32", 0 0, L_0x7fd8375e73e0;  1 drivers
v0x7fd8375cbce0_0 .net *"_s321", 4 0, L_0x7fd8375ed5f0;  1 drivers
v0x7fd8375cbd90_0 .net *"_s322", 31 0, L_0x7fd8375ed690;  1 drivers
v0x7fd8375cbe40_0 .net *"_s324", 31 0, L_0x7fd8375edb60;  1 drivers
v0x7fd8375cbef0_0 .net *"_s326", 31 0, L_0x7fd8375ed8f0;  1 drivers
v0x7fd8375cbfa0_0 .net *"_s328", 31 0, L_0x7fd8375eda10;  1 drivers
v0x7fd8375cc050_0 .net *"_s330", 31 0, L_0x7fd8375edf50;  1 drivers
v0x7fd8375cc100_0 .net *"_s332", 31 0, L_0x7fd8375ee0b0;  1 drivers
v0x7fd8375cc1b0_0 .net *"_s334", 31 0, L_0x7fd8375edcc0;  1 drivers
v0x7fd8375cc260_0 .net *"_s336", 31 0, L_0x7fd8375edde0;  1 drivers
L_0x109b055f8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cc310_0 .net/2u *"_s34", 0 0, L_0x109b055f8;  1 drivers
v0x7fd8375cc3c0_0 .net *"_s340", 31 0, L_0x7fd8375ee620;  1 drivers
L_0x109b06408 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cc470_0 .net *"_s343", 30 0, L_0x109b06408;  1 drivers
L_0x109b06450 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cc520_0 .net/2u *"_s344", 31 0, L_0x109b06450;  1 drivers
v0x7fd8375cc5d0_0 .net *"_s346", 0 0, L_0x7fd8375ee190;  1 drivers
v0x7fd8375cc670_0 .net *"_s348", 31 0, L_0x7fd8375ee2b0;  1 drivers
L_0x109b06498 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cc720_0 .net *"_s351", 28 0, L_0x109b06498;  1 drivers
L_0x109b064e0 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cc7d0_0 .net/2u *"_s352", 31 0, L_0x109b064e0;  1 drivers
v0x7fd8375cc880_0 .net *"_s354", 0 0, L_0x7fd8375ee390;  1 drivers
v0x7fd8375cc920_0 .net *"_s356", 0 0, L_0x7fd8375eea90;  1 drivers
v0x7fd8375cc9c0_0 .net *"_s358", 31 0, L_0x7fd8375ee700;  1 drivers
L_0x109b06528 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cca70_0 .net *"_s361", 30 0, L_0x109b06528;  1 drivers
v0x7fd8375ccb20_0 .net *"_s362", 31 0, L_0x7fd8375ee7e0;  1 drivers
L_0x109b06570 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375ccbd0_0 .net *"_s365", 28 0, L_0x109b06570;  1 drivers
L_0x109b065b8 .functor BUFT 1, C4<00000000000000000000000000000101>, C4<0>, C4<0>, C4<0>;
v0x7fd8375ccc80_0 .net/2u *"_s366", 31 0, L_0x109b065b8;  1 drivers
v0x7fd8375ccd30_0 .net *"_s368", 0 0, L_0x7fd8375ee8c0;  1 drivers
v0x7fd8375ccdd0_0 .net *"_s370", 0 0, L_0x7fd8375eef20;  1 drivers
v0x7fd8375cce70_0 .net *"_s372", 31 0, L_0x7fd8375eebb0;  1 drivers
L_0x109b06600 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375ccf20_0 .net *"_s375", 30 0, L_0x109b06600;  1 drivers
v0x7fd8375ccfd0_0 .net *"_s376", 31 0, L_0x7fd8375eec90;  1 drivers
L_0x109b06648 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cd080_0 .net *"_s379", 28 0, L_0x109b06648;  1 drivers
L_0x109b05640 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cd130_0 .net/2u *"_s38", 1 0, L_0x109b05640;  1 drivers
L_0x109b06690 .functor BUFT 1, C4<00000000000000000000000000000110>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cd1e0_0 .net/2u *"_s380", 31 0, L_0x109b06690;  1 drivers
v0x7fd8375cd290_0 .net *"_s382", 0 0, L_0x7fd8375eed70;  1 drivers
v0x7fd8375cd330_0 .net *"_s384", 0 0, L_0x7fd8375ef350;  1 drivers
v0x7fd8375cd3d0_0 .net *"_s386", 31 0, L_0x7fd8375eefc0;  1 drivers
L_0x109b066d8 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cd480_0 .net *"_s389", 30 0, L_0x109b066d8;  1 drivers
v0x7fd8375cd530_0 .net *"_s390", 31 0, L_0x7fd8375ef060;  1 drivers
L_0x109b06720 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cd5e0_0 .net *"_s393", 28 0, L_0x109b06720;  1 drivers
L_0x109b06768 .functor BUFT 1, C4<00000000000000000000000000000111>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cd690_0 .net/2u *"_s394", 31 0, L_0x109b06768;  1 drivers
v0x7fd8375cd740_0 .net *"_s396", 0 0, L_0x7fd8375ebf70;  1 drivers
v0x7fd8375cd7e0_0 .net *"_s398", 0 0, L_0x7fd8375ef7e0;  1 drivers
v0x7fd8375cd880_0 .net *"_s40", 0 0, L_0x7fd8375e7660;  1 drivers
v0x7fd8375cd920_0 .net *"_s400", 31 0, L_0x7fd8375ef3f0;  1 drivers
L_0x109b067b0 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cd9d0_0 .net *"_s403", 30 0, L_0x109b067b0;  1 drivers
v0x7fd8375cda80_0 .net *"_s404", 31 0, L_0x7fd8375ef4f0;  1 drivers
L_0x109b067f8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cdb30_0 .net *"_s407", 28 0, L_0x109b067f8;  1 drivers
L_0x109b06840 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cdbe0_0 .net/2u *"_s408", 31 0, L_0x109b06840;  1 drivers
v0x7fd8375cdc90_0 .net *"_s410", 0 0, L_0x7fd8375ef610;  1 drivers
v0x7fd8375cdd30_0 .net *"_s412", 31 0, L_0x7fd8375efcd0;  1 drivers
v0x7fd8375cdde0_0 .net *"_s415", 0 0, L_0x7fd8375efe40;  1 drivers
v0x7fd8375cde80_0 .net *"_s416", 31 0, L_0x7fd8375ef900;  1 drivers
L_0x109b06888 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cdf30_0 .net *"_s419", 30 0, L_0x109b06888;  1 drivers
L_0x109b05688 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cdfe0_0 .net/2u *"_s42", 0 0, L_0x109b05688;  1 drivers
v0x7fd8375ce090_0 .net *"_s420", 31 0, L_0x7fd8375ef9e0;  1 drivers
v0x7fd8375ce140_0 .net *"_s422", 31 0, L_0x7fd8375efaf0;  1 drivers
v0x7fd8375ce1f0_0 .net *"_s424", 31 0, L_0x7fd8375efc30;  1 drivers
v0x7fd8375ce2a0_0 .net *"_s426", 31 0, L_0x7fd8375f0390;  1 drivers
v0x7fd8375ce350_0 .net *"_s428", 31 0, L_0x7fd8375effa0;  1 drivers
v0x7fd8375ce400_0 .net *"_s430", 31 0, L_0x7fd8375f0100;  1 drivers
L_0x109b068d0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375ce4b0_0 .net/2u *"_s432", 31 0, L_0x109b068d0;  1 drivers
v0x7fd8375ce560_0 .net *"_s434", 0 0, L_0x7fd8375f0430;  1 drivers
v0x7fd8375ce600_0 .net *"_s438", 0 0, L_0x7fd8375f0600;  1 drivers
v0x7fd8375ce6a0_0 .net *"_s442", 31 0, L_0x7fd8375f07f0;  1 drivers
v0x7fd8375ce750_0 .net *"_s454", 0 0, L_0x7fd8375f0d00;  1 drivers
v0x7fd8375ce7f0_0 .net *"_s457", 1 0, L_0x7fd8375f0d70;  1 drivers
v0x7fd8375ce8a0_0 .net *"_s458", 31 0, L_0x7fd8375f0e10;  1 drivers
L_0x109b056d0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375ce950_0 .net/2u *"_s46", 1 0, L_0x109b056d0;  1 drivers
L_0x109b06918 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cea00_0 .net *"_s461", 29 0, L_0x109b06918;  1 drivers
L_0x109b06960 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375ceab0_0 .net/2u *"_s462", 31 0, L_0x109b06960;  1 drivers
v0x7fd8375ceb60_0 .net *"_s464", 0 0, L_0x7fd8375f0ef0;  1 drivers
v0x7fd8375cec00_0 .net *"_s466", 0 0, L_0x7fd8375f1010;  1 drivers
v0x7fd8375ceca0_0 .net *"_s470", 0 0, L_0x7fd8375f11b0;  1 drivers
v0x7fd8375ced40_0 .net *"_s473", 1 0, L_0x7fd8375f1220;  1 drivers
v0x7fd8375cedf0_0 .net *"_s474", 31 0, L_0x7fd8375f12c0;  1 drivers
L_0x109b069a8 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375ceea0_0 .net *"_s477", 29 0, L_0x109b069a8;  1 drivers
L_0x109b069f0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cef50_0 .net/2u *"_s478", 31 0, L_0x109b069f0;  1 drivers
v0x7fd8375cf000_0 .net *"_s48", 0 0, L_0x7fd8375e78d0;  1 drivers
v0x7fd8375cf0a0_0 .net *"_s480", 0 0, L_0x7fd8375f13a0;  1 drivers
v0x7fd8375cf140_0 .net *"_s482", 0 0, L_0x7fd8375f14c0;  1 drivers
v0x7fd8375cf1e0_0 .net *"_s487", 0 0, L_0x7fd8375f1140;  1 drivers
v0x7fd8375cf280_0 .net *"_s490", 1 0, L_0x7fd8375f17d0;  1 drivers
v0x7fd8375cf330_0 .net *"_s491", 31 0, L_0x7fd8375f1870;  1 drivers
L_0x109b06a38 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cf3e0_0 .net *"_s494", 29 0, L_0x109b06a38;  1 drivers
L_0x109b06a80 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cf490_0 .net/2u *"_s495", 31 0, L_0x109b06a80;  1 drivers
v0x7fd8375cf540_0 .net *"_s497", 0 0, L_0x7fd8375f1950;  1 drivers
v0x7fd8375cf5e0_0 .net *"_s499", 0 0, L_0x7fd8375f1a70;  1 drivers
v0x7fd8375cf680_0 .net *"_s5", 3 0, L_0x7fd8375e6980;  1 drivers
L_0x109b05718 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cf730_0 .net/2u *"_s50", 0 0, L_0x109b05718;  1 drivers
v0x7fd8375cf7e0_0 .net *"_s504", 0 0, L_0x7fd8375f15b0;  1 drivers
L_0x109b05760 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cf880_0 .net/2u *"_s54", 1 0, L_0x109b05760;  1 drivers
v0x7fd8375cf930_0 .net *"_s56", 0 0, L_0x7fd8375e7b70;  1 drivers
L_0x109b057a8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cf9d0_0 .net/2u *"_s58", 0 0, L_0x109b057a8;  1 drivers
L_0x109b057f0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cfa80_0 .net/2u *"_s62", 1 0, L_0x109b057f0;  1 drivers
v0x7fd8375cfb30_0 .net *"_s64", 0 0, L_0x7fd8375e7da0;  1 drivers
L_0x109b05838 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cfbd0_0 .net/2u *"_s66", 0 0, L_0x109b05838;  1 drivers
L_0x109b05880 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cfc80_0 .net/2u *"_s70", 1 0, L_0x109b05880;  1 drivers
v0x7fd8375cfd30_0 .net *"_s72", 0 0, L_0x7fd8375e8000;  1 drivers
L_0x109b058c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cfdd0_0 .net/2u *"_s74", 0 0, L_0x109b058c8;  1 drivers
L_0x109b05910 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cfe80_0 .net/2u *"_s78", 1 0, L_0x109b05910;  1 drivers
v0x7fd8375cff30_0 .net *"_s80", 0 0, L_0x7fd8375e8250;  1 drivers
L_0x109b05958 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fd8375cffd0_0 .net/2u *"_s82", 0 0, L_0x109b05958;  1 drivers
L_0x109b059a0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d0080_0 .net/2u *"_s86", 1 0, L_0x109b059a0;  1 drivers
v0x7fd8375d0130_0 .net *"_s88", 0 0, L_0x7fd8375e8590;  1 drivers
L_0x109b059e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d01d0_0 .net/2u *"_s90", 0 0, L_0x109b059e8;  1 drivers
L_0x109b05a30 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d0280_0 .net/2u *"_s94", 1 0, L_0x109b05a30;  1 drivers
v0x7fd8375d0330_0 .net *"_s96", 0 0, L_0x7fd8375e87a0;  1 drivers
L_0x109b05a78 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d03d0_0 .net/2u *"_s98", 0 0, L_0x109b05a78;  1 drivers
v0x7fd8375d0480_0 .var/i "clocks", 31 0;
v0x7fd8375d0530_0 .var/i "flush", 31 0;
v0x7fd8375d05e0_0 .var/i "halt", 31 0;
v0x7fd8375d0690_0 .var/i "load", 31 0;
v0x7fd8375d0740_0 .var/i "running", 31 0;
v0x7fd8375d07f0_0 .var/i "store", 31 0;
E_0x7fd837581470 .event posedge, v0x7fd8375c4e30_0;
L_0x7fd8375e6980 .part v0x7fd8375c7030_0, 7, 4;
L_0x7fd8375e6a60 .functor MUXZ 4, L_0x7fd8375e6980, v0x7fd8375c64d0_0, v0x7fd8375c7540_0, C4<>;
L_0x7fd8375e6b80 .part v0x7fd8375c7030_0, 15, 4;
L_0x7fd8375e6c80 .part v0x7fd8375c7030_0, 20, 4;
L_0x7fd8375e6d60 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05520;
L_0x7fd8375e6eb0 .part v0x7fd8375c7030_0, 0, 7;
L_0x7fd8375e6fd0 .functor MUXZ 7, L_0x7fd8375e6eb0, L_0x109b05568, L_0x7fd8375e6d60, C4<>;
L_0x7fd8375e7150 .part v0x7fd8375c7030_0, 12, 3;
L_0x7fd8375e71f0 .part v0x7fd8375c7030_0, 25, 7;
L_0x7fd8375e73e0 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b055b0;
L_0x7fd8375e7520 .functor MUXZ 1, v0x7fd8375c72c0_0, L_0x109b055f8, L_0x7fd8375e73e0, C4<>;
L_0x7fd8375e7660 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05640;
L_0x7fd8375e7760 .functor MUXZ 1, v0x7fd8375c6ef0_0, L_0x109b05688, L_0x7fd8375e7660, C4<>;
L_0x7fd8375e78d0 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b056d0;
L_0x7fd8375e79f0 .functor MUXZ 1, v0x7fd8375c70e0_0, L_0x109b05718, L_0x7fd8375e78d0, C4<>;
L_0x7fd8375e7b70 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05760;
L_0x7fd8375e7c50 .functor MUXZ 1, v0x7fd8375c7180_0, L_0x109b057a8, L_0x7fd8375e7b70, C4<>;
L_0x7fd8375e7da0 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b057f0;
L_0x7fd8375e7ea0 .functor MUXZ 1, v0x7fd8375c6f90_0, L_0x109b05838, L_0x7fd8375e7da0, C4<>;
L_0x7fd8375e8000 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05880;
L_0x7fd8375e80e0 .functor MUXZ 1, v0x7fd8375c7220_0, L_0x109b058c8, L_0x7fd8375e8000, C4<>;
L_0x7fd8375e8250 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05910;
L_0x7fd8375e8430 .functor MUXZ 1, v0x7fd8375c75e0_0, L_0x109b05958, L_0x7fd8375e8250, C4<>;
L_0x7fd8375e8590 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b059a0;
L_0x7fd8375e8630 .functor MUXZ 1, v0x7fd8375c7400_0, L_0x109b059e8, L_0x7fd8375e8590, C4<>;
L_0x7fd8375e87a0 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05a30;
L_0x7fd8375e8880 .functor MUXZ 1, v0x7fd8375c74a0_0, L_0x109b05a78, L_0x7fd8375e87a0, C4<>;
L_0x7fd8375e8a00 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05ac0;
L_0x7fd8375e8ae0 .functor MUXZ 1, v0x7fd8375c7360_0, L_0x109b05b08, L_0x7fd8375e8a00, C4<>;
L_0x7fd8375e8c70 .array/port v0x7fd8375c6320, L_0x7fd8375e8d10;
L_0x7fd8375e8d10 .concat [ 4 2 0 0], L_0x7fd8375e6b80, L_0x109b05b50;
L_0x7fd8375e8f30 .array/port v0x7fd8375c63b0, L_0x7fd8375e8fd0;
L_0x7fd8375e8fd0 .concat [ 4 2 0 0], L_0x7fd8375e6c80, L_0x109b05b98;
L_0x7fd8375e9200 .array/port v0x7fd8375c6320, L_0x7fd8375e92a0;
L_0x7fd8375e92a0 .concat [ 4 2 0 0], L_0x7fd8375e6b80, L_0x109b05be0;
L_0x7fd8375e94c0 .array/port v0x7fd8375c63b0, L_0x7fd8375e9560;
L_0x7fd8375e9560 .concat [ 4 2 0 0], L_0x7fd8375e6c80, L_0x109b05c28;
L_0x7fd8375e9790 .part L_0x7fd8375e7150, 0, 2;
L_0x7fd8375e9870 .concat [ 2 30 0 0], L_0x7fd8375e9790, L_0x109b05c70;
L_0x7fd8375e96a0 .cmp/eq 32, L_0x7fd8375e9870, L_0x109b05cb8;
L_0x7fd8375e9b10 .part L_0x7fd8375e7150, 2, 1;
L_0x7fd8375e9950 .concat [ 1 31 0 0], L_0x7fd8375e9b10, L_0x109b05d00;
L_0x7fd8375e9d00 .cmp/eq 32, L_0x7fd8375e9950, L_0x109b05d48;
L_0x7fd8375e9bb0 .part L_0x7fd8375dee20, 7, 1;
L_0x7fd8375ea040 .part L_0x109b054d8, 8, 24;
L_0x7fd8375e9e20 .part L_0x109b05490, 8, 24;
L_0x7fd8375ea290 .functor MUXZ 24, L_0x7fd8375e9e20, L_0x7fd8375ea040, L_0x7fd8375e9c50, C4<>;
L_0x7fd8375ea120 .part L_0x7fd8375dee20, 0, 8;
L_0x7fd8375ea4b0 .concat [ 8 24 0 0], L_0x7fd8375ea120, L_0x7fd8375ea290;
L_0x7fd8375ea330 .part L_0x7fd8375e7150, 0, 2;
L_0x7fd8375ea6e0 .concat [ 2 30 0 0], L_0x7fd8375ea330, L_0x109b05d90;
L_0x7fd8375ea550 .cmp/eq 32, L_0x7fd8375ea6e0, L_0x109b05dd8;
L_0x7fd8375ea920 .part L_0x7fd8375e7150, 2, 1;
L_0x7fd8375ea780 .concat [ 1 31 0 0], L_0x7fd8375ea920, L_0x109b05e20;
L_0x7fd8375ea860 .cmp/eq 32, L_0x7fd8375ea780, L_0x109b05e68;
L_0x7fd8375ea9c0 .part L_0x7fd8375dee20, 15, 1;
L_0x7fd8375eadb0 .part L_0x109b054d8, 16, 16;
L_0x7fd8375eabf0 .part L_0x109b05490, 16, 16;
L_0x7fd8375eac90 .functor MUXZ 16, L_0x7fd8375eabf0, L_0x7fd8375eadb0, L_0x7fd8375eaa60, C4<>;
L_0x7fd8375eb0b0 .part L_0x7fd8375dee20, 0, 16;
L_0x7fd8375eb150 .concat [ 16 16 0 0], L_0x7fd8375eb0b0, L_0x7fd8375eac90;
L_0x7fd8375eae50 .functor MUXZ 32, L_0x7fd8375dee20, L_0x7fd8375eb150, L_0x7fd8375ea550, C4<>;
L_0x7fd8375eaf70 .functor MUXZ 32, L_0x7fd8375eae50, L_0x7fd8375ea4b0, L_0x7fd8375e96a0, C4<>;
L_0x7fd8375eb630 .functor MUXZ 32, L_0x7fd8375e8e30, v0x7fd8375c7680_0, v0x7fd8375c7400_0, C4<>;
L_0x7fd8375eb750 .functor MUXZ 32, L_0x7fd8375e93e0, v0x7fd8375c6140_0, v0x7fd8375c7400_0, C4<>;
L_0x7fd8375eb2b0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b05ef8;
L_0x7fd8375eb390 .cmp/eq 32, L_0x7fd8375eb2b0, L_0x109b05f40;
L_0x7fd8375eb8e0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b05f88;
L_0x7fd8375ebc60 .cmp/eq 32, L_0x7fd8375eb8e0, L_0x109b05fd0;
L_0x7fd8375ebb10 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b06018;
L_0x7fd8375ebbb0 .cmp/eq 32, L_0x7fd8375ebb10, L_0x109b06060;
L_0x7fd8375ebeb0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b060a8;
L_0x7fd8375ec2f0 .cmp/eq 32, L_0x7fd8375ebeb0, L_0x109b060f0;
L_0x7fd8375ec0b0 .cmp/gt 32, L_0x7fd8375eb750, L_0x7fd8375e9130;
L_0x7fd8375ec190 .functor MUXZ 32, L_0x109b06180, L_0x109b06138, L_0x7fd8375ec0b0, C4<>;
L_0x7fd8375ec6d0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b061c8;
L_0x7fd8375ec7b0 .cmp/eq 32, L_0x7fd8375ec6d0, L_0x109b06210;
L_0x7fd8375ec430 .cmp/gt.s 32, L_0x7fd8375eb630, L_0x7fd8375e8b80;
L_0x7fd8375ec510 .functor MUXZ 32, L_0x109b062a0, L_0x109b06258, L_0x7fd8375ec430, C4<>;
L_0x7fd8375ecb50 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b062e8;
L_0x7fd8375ecc30 .cmp/eq 32, L_0x7fd8375ecb50, L_0x109b06330;
L_0x7fd8375ec8d0 .part L_0x7fd8375e71f0, 5, 1;
L_0x7fd8375ed000 .arith/sub 32, L_0x7fd8375e9130, L_0x7fd8375eb750;
L_0x7fd8375ecd70 .arith/sum 32, L_0x7fd8375e9130, L_0x7fd8375eb630;
L_0x7fd8375ece10 .functor MUXZ 32, L_0x7fd8375ecd70, L_0x7fd8375ed000, L_0x7fd8375ec9b0, C4<>;
L_0x7fd8375ecf30 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b06378;
L_0x7fd8375ed390 .cmp/eq 32, L_0x7fd8375ecf30, L_0x109b063c0;
L_0x7fd8375ed0a0 .part L_0x7fd8375eb750, 0, 5;
L_0x7fd8375ed1c0 .shift/l 32, L_0x7fd8375e9130, L_0x7fd8375ed0a0;
L_0x7fd8375ed260 .part L_0x7fd8375e71f0, 5, 1;
L_0x7fd8375ed4b0 .part L_0x7fd8375eb750, 0, 5;
L_0x7fd8375ed550 .shift/rs 32, L_0x7fd8375e8b80, L_0x7fd8375ed4b0;
L_0x7fd8375ed5f0 .part L_0x7fd8375eb750, 0, 5;
L_0x7fd8375ed690 .shift/r 32, L_0x7fd8375e9130, L_0x7fd8375ed5f0;
L_0x7fd8375edb60 .functor MUXZ 32, L_0x7fd8375ed690, L_0x7fd8375ed550, L_0x7fd8375ed260, C4<>;
L_0x7fd8375ed8f0 .functor MUXZ 32, L_0x7fd8375edb60, L_0x7fd8375ed1c0, L_0x7fd8375ed390, C4<>;
L_0x7fd8375eda10 .functor MUXZ 32, L_0x7fd8375ed8f0, L_0x7fd8375ece10, L_0x7fd8375ecc30, C4<>;
L_0x7fd8375edf50 .functor MUXZ 32, L_0x7fd8375eda10, L_0x7fd8375ec510, L_0x7fd8375ec7b0, C4<>;
L_0x7fd8375ee0b0 .functor MUXZ 32, L_0x7fd8375edf50, L_0x7fd8375ec190, L_0x7fd8375ec2f0, C4<>;
L_0x7fd8375edcc0 .functor MUXZ 32, L_0x7fd8375ee0b0, L_0x7fd8375ebd40, L_0x7fd8375ebbb0, C4<>;
L_0x7fd8375edde0 .functor MUXZ 32, L_0x7fd8375edcc0, L_0x7fd8375eba40, L_0x7fd8375ebc60, C4<>;
L_0x7fd8375ee4c0 .functor MUXZ 32, L_0x7fd8375edde0, L_0x7fd8375eb7f0, L_0x7fd8375eb390, C4<>;
L_0x7fd8375ee620 .concat [ 1 31 0 0], L_0x7fd8375e7ea0, L_0x109b06408;
L_0x7fd8375ee190 .cmp/eq 32, L_0x7fd8375ee620, L_0x109b06450;
L_0x7fd8375ee2b0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b06498;
L_0x7fd8375ee390 .cmp/eq 32, L_0x7fd8375ee2b0, L_0x109b064e0;
L_0x7fd8375eea90 .cmp/gt.s 32, L_0x7fd8375eb630, L_0x7fd8375e8b80;
L_0x7fd8375ee700 .concat [ 1 31 0 0], L_0x7fd8375eea90, L_0x109b06528;
L_0x7fd8375ee7e0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b06570;
L_0x7fd8375ee8c0 .cmp/eq 32, L_0x7fd8375ee7e0, L_0x109b065b8;
L_0x7fd8375eef20 .cmp/ge.s 32, L_0x7fd8375e8b80, L_0x7fd8375e8e30;
L_0x7fd8375eebb0 .concat [ 1 31 0 0], L_0x7fd8375eef20, L_0x109b06600;
L_0x7fd8375eec90 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b06648;
L_0x7fd8375eed70 .cmp/eq 32, L_0x7fd8375eec90, L_0x109b06690;
L_0x7fd8375ef350 .cmp/gt 32, L_0x7fd8375eb750, L_0x7fd8375e9130;
L_0x7fd8375eefc0 .concat [ 1 31 0 0], L_0x7fd8375ef350, L_0x109b066d8;
L_0x7fd8375ef060 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b06720;
L_0x7fd8375ebf70 .cmp/eq 32, L_0x7fd8375ef060, L_0x109b06768;
L_0x7fd8375ef7e0 .cmp/ge 32, L_0x7fd8375e9130, L_0x7fd8375e93e0;
L_0x7fd8375ef3f0 .concat [ 1 31 0 0], L_0x7fd8375ef7e0, L_0x109b067b0;
L_0x7fd8375ef4f0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b067f8;
L_0x7fd8375ef610 .cmp/eq 32, L_0x7fd8375ef4f0, L_0x109b06840;
L_0x7fd8375efe40 .reduce/nor L_0x7fd8375efcd0;
L_0x7fd8375ef900 .concat [ 1 31 0 0], L_0x7fd8375efe40, L_0x109b06888;
L_0x7fd8375efaf0 .functor MUXZ 32, L_0x7fd8375ef9e0, L_0x7fd8375ef900, L_0x7fd8375ef610, C4<>;
L_0x7fd8375efc30 .functor MUXZ 32, L_0x7fd8375efaf0, L_0x7fd8375ef3f0, L_0x7fd8375ebf70, C4<>;
L_0x7fd8375f0390 .functor MUXZ 32, L_0x7fd8375efc30, L_0x7fd8375eefc0, L_0x7fd8375eed70, C4<>;
L_0x7fd8375effa0 .functor MUXZ 32, L_0x7fd8375f0390, L_0x7fd8375eebb0, L_0x7fd8375ee8c0, C4<>;
L_0x7fd8375f0100 .functor MUXZ 32, L_0x7fd8375effa0, L_0x7fd8375ee700, L_0x7fd8375ee390, C4<>;
L_0x7fd8375f0430 .cmp/ne 32, L_0x7fd8375f0100, L_0x109b068d0;
L_0x7fd8375f07f0 .arith/sum 32, v0x7fd8375c6090_0, v0x7fd8375c7680_0;
L_0x7fd8375f08f0 .functor MUXZ 32, L_0x7fd8375f07f0, L_0x7fd8375f0ae0, L_0x7fd8375e7c50, C4<>;
L_0x7fd8375f0ae0 .arith/sum 32, L_0x7fd8375e9130, v0x7fd8375c7680_0;
L_0x7fd8375f0be0 .reduce/nor L_0x7fd8375e8430;
L_0x7fd8375f0d70 .part L_0x7fd8375e7150, 0, 2;
L_0x7fd8375f0e10 .concat [ 2 30 0 0], L_0x7fd8375f0d70, L_0x109b06918;
L_0x7fd8375f0ef0 .cmp/eq 32, L_0x7fd8375f0e10, L_0x109b06960;
L_0x7fd8375f1220 .part L_0x7fd8375e7150, 0, 2;
L_0x7fd8375f12c0 .concat [ 2 30 0 0], L_0x7fd8375f1220, L_0x109b069a8;
L_0x7fd8375f13a0 .cmp/eq 32, L_0x7fd8375f12c0, L_0x109b069f0;
L_0x7fd8375f1670 .concat8 [ 1 1 1 0], L_0x7fd8375f1010, L_0x7fd8375f14c0, L_0x7fd8375f1a70;
L_0x7fd8375f17d0 .part L_0x7fd8375e7150, 0, 2;
L_0x7fd8375f1870 .concat [ 2 30 0 0], L_0x7fd8375f17d0, L_0x109b06a38;
L_0x7fd8375f1950 .cmp/eq 32, L_0x7fd8375f1870, L_0x109b06a80;
L_0x7fd8375f15b0 .reduce/or v0x7fd8375c5550_0;
L_0x7fd8375f1d20 .concat [ 1 1 1 1], L_0x7fd8375e80e0, L_0x7fd8375e8430, L_0x7fd8375f15b0, v0x7fd8375c7540_0;
S_0x7fd8375d09c0 .scope module, "uart0" "darkuart" 3 686, 5 74 0, S_0x7fd837573a70;
 .timescale -9 -12;
    .port_info 0 /INPUT 1 "CLK"
    .port_info 1 /INPUT 1 "RES"
    .port_info 2 /INPUT 1 "RD"
    .port_info 3 /INPUT 1 "WR"
    .port_info 4 /INPUT 4 "BE"
    .port_info 5 /INPUT 32 "DATAI"
    .port_info 6 /OUTPUT 32 "DATAO"
    .port_info 7 /OUTPUT 1 "IRQ"
    .port_info 8 /INPUT 1 "RXD"
    .port_info 9 /OUTPUT 1 "TXD"
    .port_info 10 /OUTPUT 1 "FINISH_REQ"
    .port_info 11 /OUTPUT 4 "DEBUG"
L_0x7fd8375e4440 .functor XOR 1, v0x7fd8375d18a0_0, v0x7fd8375d15b0_0, C4<0>, C4<0>;
L_0x7fd8375e44b0 .functor XOR 1, v0x7fd8375d1eb0_0, v0x7fd8375d1cb0_0, C4<0>, C4<0>;
L_0x7fd8375e4720 .functor XOR 8, L_0x7fd8375e4580, v0x7fd8375d1b50_0, C4<00000000>, C4<00000000>;
v0x7fd8375d0c40_0 .net "BE", 3 0, L_0x7fd8375e32d0;  alias, 1 drivers
v0x7fd8375d0cd0_0 .net "CLK", 0 0, L_0x7fd8375dc7c0;  alias, 1 drivers
v0x7fd8375d0d90_0 .net "DATAI", 31 0, L_0x7fd8375e1010;  alias, 1 drivers
v0x7fd8375d0e40_0 .net "DATAO", 31 0, L_0x7fd8375e48d0;  alias, 1 drivers
v0x7fd8375d0ee0_0 .var "DATAOFF", 31 0;
v0x7fd8375d0fd0_0 .net "DEBUG", 3 0, L_0x7fd8375e5700;  alias, 1 drivers
v0x7fd8375d1080_0 .var "FINISH_REQ", 0 0;
v0x7fd8375d1110_0 .net "IRQ", 0 0, L_0x7fd8375e47d0;  1 drivers
v0x7fd8375d11a0_0 .net "RD", 0 0, L_0x7fd8375e5b40;  1 drivers
v0x7fd8375d12c0_0 .net "RES", 0 0, L_0x7fd8375dc870;  alias, 1 drivers
v0x7fd8375d1370_0 .net "RXD", 0 0, L_0x109b04008;  alias, 1 drivers
v0x7fd8375d1400_0 .net "TXD", 0 0, L_0x7fd8375e51c0;  alias, 1 drivers
v0x7fd8375d1490_0 .var "UART_IACK", 0 0;
v0x7fd8375d1520_0 .var "UART_IREQ", 0 0;
v0x7fd8375d15b0_0 .var "UART_RACK", 0 0;
v0x7fd8375d1640_0 .var "UART_RBAUD", 15 0;
v0x7fd8375d16f0_0 .var "UART_RFIFO", 7 0;
v0x7fd8375d18a0_0 .var "UART_RREQ", 0 0;
v0x7fd8375d1940_0 .var "UART_RSTATE", 3 0;
v0x7fd8375d19f0_0 .var "UART_RXDFF", 2 0;
v0x7fd8375d1aa0_0 .net "UART_STATE", 7 0, L_0x7fd8375e4580;  1 drivers
v0x7fd8375d1b50_0 .var "UART_STATEFF", 7 0;
v0x7fd8375d1c00_0 .var "UART_TIMER", 15 0;
v0x7fd8375d1cb0_0 .var "UART_XACK", 0 0;
v0x7fd8375d1d50_0 .var "UART_XBAUD", 15 0;
v0x7fd8375d1e00_0 .var "UART_XFIFO", 7 0;
v0x7fd8375d1eb0_0 .var "UART_XREQ", 0 0;
v0x7fd8375d1f50_0 .var "UART_XSTATE", 3 0;
v0x7fd8375d2000_0 .net "WR", 0 0, L_0x7fd8375e6890;  1 drivers
L_0x109b050a0 .functor BUFT 1, C4<000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d20a0_0 .net/2u *"_s0", 5 0, L_0x109b050a0;  1 drivers
v0x7fd8375d2150_0 .net *"_s15", 0 0, L_0x7fd8375e49f0;  1 drivers
v0x7fd8375d2200_0 .net *"_s17", 2 0, L_0x7fd8375e4ad0;  1 drivers
v0x7fd8375d22b0_0 .net *"_s19", 0 0, L_0x7fd8375e4c00;  1 drivers
v0x7fd8375d17a0_0 .net *"_s2", 0 0, L_0x7fd8375e4440;  1 drivers
v0x7fd8375d2540_0 .net *"_s20", 1 0, L_0x7fd8375e4ce0;  1 drivers
L_0x109b050e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d25d0_0 .net *"_s23", 0 0, L_0x109b050e8;  1 drivers
v0x7fd8375d2670_0 .net *"_s24", 31 0, L_0x7fd8375e4e60;  1 drivers
L_0x109b05130 .functor BUFT 1, C4<0000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d2720_0 .net *"_s27", 27 0, L_0x109b05130;  1 drivers
L_0x109b05178 .functor BUFT 1, C4<00000000000000000000000000000111>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d27d0_0 .net/2u *"_s28", 31 0, L_0x109b05178;  1 drivers
v0x7fd8375d2880_0 .net *"_s30", 0 0, L_0x7fd8375e4f60;  1 drivers
L_0x109b051c0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d2920_0 .net/2u *"_s32", 1 0, L_0x109b051c0;  1 drivers
L_0x109b05208 .functor BUFT 1, C4<01>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d29d0_0 .net/2u *"_s34", 1 0, L_0x109b05208;  1 drivers
v0x7fd8375d2a80_0 .net *"_s36", 1 0, L_0x7fd8375e1400;  1 drivers
v0x7fd8375d2b30_0 .net *"_s38", 1 0, L_0x7fd8375e1560;  1 drivers
v0x7fd8375d2be0_0 .net *"_s4", 0 0, L_0x7fd8375e44b0;  1 drivers
v0x7fd8375d2c90_0 .net *"_s42", 31 0, L_0x7fd8375e5260;  1 drivers
L_0x109b05250 .functor BUFT 1, C4<0000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d2d40_0 .net *"_s45", 27 0, L_0x109b05250;  1 drivers
L_0x109b05298 .functor BUFT 1, C4<00000000000000000000000000000110>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d2df0_0 .net/2u *"_s46", 31 0, L_0x109b05298;  1 drivers
v0x7fd8375d2ea0_0 .net *"_s48", 0 0, L_0x7fd8375e53c0;  1 drivers
v0x7fd8375d2f40_0 .net *"_s50", 31 0, L_0x7fd8375e54a0;  1 drivers
L_0x109b052e0 .functor BUFT 1, C4<0000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d2ff0_0 .net *"_s53", 27 0, L_0x109b052e0;  1 drivers
L_0x109b05328 .functor BUFT 1, C4<00000000000000000000000000000110>, C4<0>, C4<0>, C4<0>;
v0x7fd8375d30a0_0 .net/2u *"_s54", 31 0, L_0x109b05328;  1 drivers
v0x7fd8375d3150_0 .net *"_s56", 0 0, L_0x7fd8375e5620;  1 drivers
v0x7fd8375d31f0_0 .net *"_s8", 7 0, L_0x7fd8375e4720;  1 drivers
L_0x7fd8375e4580 .concat [ 1 1 6 0], L_0x7fd8375e44b0, L_0x7fd8375e4440, L_0x109b050a0;
L_0x7fd8375e47d0 .reduce/or L_0x7fd8375e4720;
L_0x7fd8375e48d0 .concat [ 8 8 16 0], L_0x7fd8375e4580, v0x7fd8375d16f0_0, v0x7fd8375d1c00_0;
L_0x7fd8375e49f0 .part v0x7fd8375d1f50_0, 3, 1;
L_0x7fd8375e4ad0 .part v0x7fd8375d1f50_0, 0, 3;
L_0x7fd8375e4c00 .part/v v0x7fd8375d1e00_0, L_0x7fd8375e4ad0, 1;
L_0x7fd8375e4ce0 .concat [ 1 1 0 0], L_0x7fd8375e4c00, L_0x109b050e8;
L_0x7fd8375e4e60 .concat [ 4 28 0 0], v0x7fd8375d1f50_0, L_0x109b05130;
L_0x7fd8375e4f60 .cmp/eq 32, L_0x7fd8375e4e60, L_0x109b05178;
L_0x7fd8375e1400 .functor MUXZ 2, L_0x109b05208, L_0x109b051c0, L_0x7fd8375e4f60, C4<>;
L_0x7fd8375e1560 .functor MUXZ 2, L_0x7fd8375e1400, L_0x7fd8375e4ce0, L_0x7fd8375e49f0, C4<>;
L_0x7fd8375e51c0 .part L_0x7fd8375e1560, 0, 1;
L_0x7fd8375e5260 .concat [ 4 28 0 0], v0x7fd8375d1f50_0, L_0x109b05250;
L_0x7fd8375e53c0 .cmp/ne 32, L_0x7fd8375e5260, L_0x109b05298;
L_0x7fd8375e54a0 .concat [ 4 28 0 0], v0x7fd8375d1940_0, L_0x109b052e0;
L_0x7fd8375e5620 .cmp/ne 32, L_0x7fd8375e54a0, L_0x109b05328;
L_0x7fd8375e5700 .concat [ 1 1 1 1], L_0x7fd8375e5620, L_0x7fd8375e53c0, L_0x7fd8375e51c0, L_0x109b04008;
    .scope S_0x7fd8375d09c0;
T_0 ;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fd8375d1080_0, 0, 1;
    %pushi/vec4 868, 0, 16;
    %store/vec4 v0x7fd8375d1c00_0, 0, 16;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fd8375d1520_0, 0, 1;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fd8375d1490_0, 0, 1;
    %pushi/vec4 0, 0, 8;
    %store/vec4 v0x7fd8375d1e00_0, 0, 8;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fd8375d1eb0_0, 0, 1;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fd8375d1cb0_0, 0, 1;
    %pushi/vec4 0, 0, 16;
    %store/vec4 v0x7fd8375d1d50_0, 0, 16;
    %pushi/vec4 0, 0, 4;
    %store/vec4 v0x7fd8375d1f50_0, 0, 4;
    %pushi/vec4 0, 0, 8;
    %store/vec4 v0x7fd8375d16f0_0, 0, 8;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fd8375d18a0_0, 0, 1;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fd8375d15b0_0, 0, 1;
    %pushi/vec4 0, 0, 16;
    %store/vec4 v0x7fd8375d1640_0, 0, 16;
    %pushi/vec4 0, 0, 4;
    %store/vec4 v0x7fd8375d1940_0, 0, 4;
    %pushi/vec4 7, 0, 3;
    %store/vec4 v0x7fd8375d19f0_0, 0, 3;
    %pushi/vec4 0, 0, 8;
    %store/vec4 v0x7fd8375d1b50_0, 0, 8;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fd8375d0ee0_0, 0, 32;
    %end;
    .thread T_0;
    .scope S_0x7fd8375d09c0;
T_1 ;
    %wait E_0x7fd837581470;
    %load/vec4 v0x7fd8375d2000_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_1.0, 8;
    %load/vec4 v0x7fd8375d0c40_0;
    %parti/s 1, 1, 2;
    %flag_set/vec4 8;
    %jmp/0xz  T_1.2, 8;
    %load/vec4 v0x7fd8375d0d90_0;
    %parti/s 8, 8, 5;
    %assign/vec4 v0x7fd8375d1e00_0, 0;
    %load/vec4 v0x7fd8375d0d90_0;
    %parti/s 8, 8, 5;
    %pad/u 32;
    %cmpi/ne 13, 0, 32;
    %jmp/0xz  T_1.4, 4;
    %vpi_call 5 136 "$write", "%c", &PV<v0x7fd8375d0d90_0, 8, 8> {0 0 0};
T_1.4 ;
    %load/vec4 v0x7fd8375d0d90_0;
    %parti/s 8, 8, 5;
    %pushi/vec4 35, 0, 8; draw_string_vec4
    %cmp/e;
    %jmp/0xz  T_1.6, 4;
    %vpi_call 5 141 "$display", "[checkpoint #]" {0 0 0};
    %vpi_call 5 142 "$stop" {0 0 0};
T_1.6 ;
    %load/vec4 v0x7fd8375d0d90_0;
    %parti/s 8, 8, 5;
    %pushi/vec4 62, 0, 8; draw_string_vec4
    %cmp/e;
    %jmp/0xz  T_1.8, 4;
    %vpi_call 5 147 "$display", " no UART input, end simulation request..." {0 0 0};
    %pushi/vec4 1, 0, 1;
    %assign/vec4 v0x7fd8375d1080_0, 0;
T_1.8 ;
T_1.2 ;
T_1.0 ;
    %load/vec4 v0x7fd8375d12c0_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_1.10, 8;
    %load/vec4 v0x7fd8375d18a0_0;
    %assign/vec4 v0x7fd8375d15b0_0, 0;
    %load/vec4 v0x7fd8375d1aa0_0;
    %assign/vec4 v0x7fd8375d1b50_0, 0;
    %jmp T_1.11;
T_1.10 ;
    %load/vec4 v0x7fd8375d11a0_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_1.12, 8;
    %load/vec4 v0x7fd8375d0c40_0;
    %parti/s 1, 1, 2;
    %flag_set/vec4 8;
    %jmp/0xz  T_1.14, 8;
    %load/vec4 v0x7fd8375d18a0_0;
    %assign/vec4 v0x7fd8375d15b0_0, 0;
T_1.14 ;
    %load/vec4 v0x7fd8375d0c40_0;
    %parti/s 1, 0, 2;
    %flag_set/vec4 8;
    %jmp/0xz  T_1.16, 8;
    %load/vec4 v0x7fd8375d1aa0_0;
    %assign/vec4 v0x7fd8375d1b50_0, 0;
T_1.16 ;
T_1.12 ;
T_1.11 ;
    %jmp T_1;
    .thread T_1;
    .scope S_0x7fd8375d09c0;
T_2 ;
    %wait E_0x7fd837581470;
    %load/vec4 v0x7fd8375d1f50_0;
    %pad/u 32;
    %cmpi/e 6, 0, 32;
    %flag_mov 8, 4;
    %jmp/0 T_2.0, 8;
    %load/vec4 v0x7fd8375d1c00_0;
    %jmp/1 T_2.1, 8;
T_2.0 ; End of true expr.
    %load/vec4 v0x7fd8375d1d50_0;
    %cmpi/ne 0, 0, 16;
    %flag_mov 9, 4;
    %jmp/0 T_2.2, 9;
    %load/vec4 v0x7fd8375d1d50_0;
    %subi 1, 0, 16;
    %jmp/1 T_2.3, 9;
T_2.2 ; End of true expr.
    %load/vec4 v0x7fd8375d1c00_0;
    %jmp/0 T_2.3, 9;
 ; End of false expr.
    %blend;
T_2.3;
    %jmp/0 T_2.1, 8;
 ; End of false expr.
    %blend;
T_2.1;
    %assign/vec4 v0x7fd8375d1d50_0, 0;
    %load/vec4 v0x7fd8375d12c0_0;
    %flag_set/vec4 8;
    %load/vec4 v0x7fd8375d1f50_0;
    %pad/u 32;
    %cmpi/e 1, 0, 32;
    %flag_or 4, 8;
    %flag_mov 8, 4;
    %jmp/0 T_2.4, 8;
    %pushi/vec4 6, 0, 4;
    %jmp/1 T_2.5, 8;
T_2.4 ; End of true expr.
    %load/vec4 v0x7fd8375d1f50_0;
    %pad/u 32;
    %cmpi/e 6, 0, 32;
    %flag_mov 9, 4;
    %jmp/0 T_2.6, 9;
    %load/vec4 v0x7fd8375d1f50_0;
    %load/vec4 v0x7fd8375d1eb0_0;
    %pad/u 4;
    %load/vec4 v0x7fd8375d1cb0_0;
    %pad/u 4;
    %xor;
    %add;
    %jmp/1 T_2.7, 9;
T_2.6 ; End of true expr.
    %load/vec4 v0x7fd8375d1f50_0;
    %load/vec4 v0x7fd8375d1d50_0;
    %pad/u 32;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %pad/u 4;
    %add;
    %jmp/0 T_2.7, 9;
 ; End of false expr.
    %blend;
T_2.7;
    %jmp/0 T_2.5, 8;
 ; End of false expr.
    %blend;
T_2.5;
    %assign/vec4 v0x7fd8375d1f50_0, 0;
    %load/vec4 v0x7fd8375d12c0_0;
    %flag_set/vec4 8;
    %load/vec4 v0x7fd8375d1f50_0;
    %pad/u 32;
    %cmpi/e 1, 0, 32;
    %flag_or 4, 8;
    %flag_mov 8, 4;
    %jmp/0 T_2.8, 8;
    %load/vec4 v0x7fd8375d1eb0_0;
    %jmp/1 T_2.9, 8;
T_2.8 ; End of true expr.
    %load/vec4 v0x7fd8375d1cb0_0;
    %jmp/0 T_2.9, 8;
 ; End of false expr.
    %blend;
T_2.9;
    %assign/vec4 v0x7fd8375d1cb0_0, 0;
    %jmp T_2;
    .thread T_2;
    .scope S_0x7fd8375d09c0;
T_3 ;
    %wait E_0x7fd837581470;
    %load/vec4 v0x7fd8375d19f0_0;
    %ix/load 4, 1, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %load/vec4 v0x7fd8375d1370_0;
    %pad/u 3;
    %or;
    %assign/vec4 v0x7fd8375d19f0_0, 0;
    %load/vec4 v0x7fd8375d1940_0;
    %pad/u 32;
    %cmpi/e 6, 0, 32;
    %flag_mov 8, 4;
    %jmp/0 T_3.0, 8;
    %pushi/vec4 0, 0, 1;
    %load/vec4 v0x7fd8375d1c00_0;
    %parti/s 15, 1, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_3.1, 8;
T_3.0 ; End of true expr.
    %load/vec4 v0x7fd8375d1640_0;
    %cmpi/ne 0, 0, 16;
    %flag_mov 9, 4;
    %jmp/0 T_3.2, 9;
    %load/vec4 v0x7fd8375d1640_0;
    %subi 1, 0, 16;
    %jmp/1 T_3.3, 9;
T_3.2 ; End of true expr.
    %load/vec4 v0x7fd8375d1c00_0;
    %jmp/0 T_3.3, 9;
 ; End of false expr.
    %blend;
T_3.3;
    %jmp/0 T_3.1, 8;
 ; End of false expr.
    %blend;
T_3.1;
    %assign/vec4 v0x7fd8375d1640_0, 0;
    %load/vec4 v0x7fd8375d12c0_0;
    %flag_set/vec4 8;
    %load/vec4 v0x7fd8375d1940_0;
    %pad/u 32;
    %cmpi/e 1, 0, 32;
    %flag_or 4, 8;
    %flag_mov 8, 4;
    %jmp/0 T_3.4, 8;
    %pushi/vec4 6, 0, 4;
    %jmp/1 T_3.5, 8;
T_3.4 ; End of true expr.
    %load/vec4 v0x7fd8375d1940_0;
    %pad/u 32;
    %cmpi/e 6, 0, 32;
    %flag_mov 9, 4;
    %jmp/0 T_3.6, 9;
    %load/vec4 v0x7fd8375d1940_0;
    %load/vec4 v0x7fd8375d19f0_0;
    %parti/s 2, 1, 2;
    %pushi/vec4 2, 0, 2;
    %cmp/e;
    %flag_get/vec4 4;
    %pad/u 4;
    %add;
    %jmp/1 T_3.7, 9;
T_3.6 ; End of true expr.
    %load/vec4 v0x7fd8375d1940_0;
    %load/vec4 v0x7fd8375d1640_0;
    %pad/u 32;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %pad/u 4;
    %add;
    %jmp/0 T_3.7, 9;
 ; End of false expr.
    %blend;
T_3.7;
    %jmp/0 T_3.5, 8;
 ; End of false expr.
    %blend;
T_3.5;
    %assign/vec4 v0x7fd8375d1940_0, 0;
    %load/vec4 v0x7fd8375d1940_0;
    %pad/u 32;
    %cmpi/e 1, 0, 32;
    %flag_mov 8, 4;
    %jmp/0 T_3.8, 8;
    %load/vec4 v0x7fd8375d15b0_0;
    %nor/r;
    %jmp/1 T_3.9, 8;
T_3.8 ; End of true expr.
    %load/vec4 v0x7fd8375d18a0_0;
    %jmp/0 T_3.9, 8;
 ; End of false expr.
    %blend;
T_3.9;
    %assign/vec4 v0x7fd8375d18a0_0, 0;
    %load/vec4 v0x7fd8375d1940_0;
    %parti/s 1, 3, 3;
    %flag_set/vec4 8;
    %jmp/0xz  T_3.10, 8;
    %load/vec4 v0x7fd8375d19f0_0;
    %parti/s 1, 2, 3;
    %ix/load 5, 0, 0;
    %load/vec4 v0x7fd8375d1940_0;
    %parti/s 3, 0, 2;
    %ix/vec4 4;
    %assign/vec4/off/d v0x7fd8375d16f0_0, 4, 5;
T_3.10 ;
    %jmp T_3;
    .thread T_3;
    .scope S_0x7fd837572930;
T_4 ;
    %pushi/vec4 1, 0, 1;
    %store/vec4 v0x7fd8375c7540_0, 0, 1;
    %pushi/vec4 3, 0, 2;
    %store/vec4 v0x7fd8375c5550_0, 0, 2;
    %pushi/vec4 15, 0, 4;
    %store/vec4 v0x7fd8375c64d0_0, 0, 4;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fd8375d0480_0, 0, 32;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fd8375d0740_0, 0, 32;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fd8375d0690_0, 0, 32;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fd8375d07f0_0, 0, 32;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fd8375d0530_0, 0, 32;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fd8375d05e0_0, 0, 32;
    %end;
    .thread T_4;
    .scope S_0x7fd837572930;
T_5 ;
    %wait E_0x7fd837581470;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.0, 8;
    %pushi/vec4 0, 0, 32;
    %jmp/1 T_5.1, 8;
T_5.0 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.2, 9;
    %load/vec4 v0x7fd8375c7030_0;
    %jmp/1 T_5.3, 9;
T_5.2 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %jmp/0 T_5.3, 9;
 ; End of false expr.
    %blend;
T_5.3;
    %jmp/0 T_5.1, 8;
 ; End of false expr.
    %blend;
T_5.1;
    %assign/vec4 v0x7fd8375c7030_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.4, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.5, 8;
T_5.4 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.6, 9;
    %load/vec4 v0x7fd8375c72c0_0;
    %jmp/1 T_5.7, 9;
T_5.6 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 55, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.7, 9;
 ; End of false expr.
    %blend;
T_5.7;
    %jmp/0 T_5.5, 8;
 ; End of false expr.
    %blend;
T_5.5;
    %assign/vec4 v0x7fd8375c72c0_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.8, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.9, 8;
T_5.8 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.10, 9;
    %load/vec4 v0x7fd8375c6ef0_0;
    %jmp/1 T_5.11, 9;
T_5.10 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 23, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.11, 9;
 ; End of false expr.
    %blend;
T_5.11;
    %jmp/0 T_5.9, 8;
 ; End of false expr.
    %blend;
T_5.9;
    %assign/vec4 v0x7fd8375c6ef0_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.12, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.13, 8;
T_5.12 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.14, 9;
    %load/vec4 v0x7fd8375c70e0_0;
    %jmp/1 T_5.15, 9;
T_5.14 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 111, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.15, 9;
 ; End of false expr.
    %blend;
T_5.15;
    %jmp/0 T_5.13, 8;
 ; End of false expr.
    %blend;
T_5.13;
    %assign/vec4 v0x7fd8375c70e0_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.16, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.17, 8;
T_5.16 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.18, 9;
    %load/vec4 v0x7fd8375c7180_0;
    %jmp/1 T_5.19, 9;
T_5.18 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 103, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.19, 9;
 ; End of false expr.
    %blend;
T_5.19;
    %jmp/0 T_5.17, 8;
 ; End of false expr.
    %blend;
T_5.17;
    %assign/vec4 v0x7fd8375c7180_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.20, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.21, 8;
T_5.20 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.22, 9;
    %load/vec4 v0x7fd8375c6f90_0;
    %jmp/1 T_5.23, 9;
T_5.22 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 99, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.23, 9;
 ; End of false expr.
    %blend;
T_5.23;
    %jmp/0 T_5.21, 8;
 ; End of false expr.
    %blend;
T_5.21;
    %assign/vec4 v0x7fd8375c6f90_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.24, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.25, 8;
T_5.24 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.26, 9;
    %load/vec4 v0x7fd8375c7220_0;
    %jmp/1 T_5.27, 9;
T_5.26 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 3, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.27, 9;
 ; End of false expr.
    %blend;
T_5.27;
    %jmp/0 T_5.25, 8;
 ; End of false expr.
    %blend;
T_5.25;
    %assign/vec4 v0x7fd8375c7220_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.28, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.29, 8;
T_5.28 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.30, 9;
    %load/vec4 v0x7fd8375c75e0_0;
    %jmp/1 T_5.31, 9;
T_5.30 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 35, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.31, 9;
 ; End of false expr.
    %blend;
T_5.31;
    %jmp/0 T_5.29, 8;
 ; End of false expr.
    %blend;
T_5.29;
    %assign/vec4 v0x7fd8375c75e0_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.32, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.33, 8;
T_5.32 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.34, 9;
    %load/vec4 v0x7fd8375c7400_0;
    %jmp/1 T_5.35, 9;
T_5.34 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 19, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.35, 9;
 ; End of false expr.
    %blend;
T_5.35;
    %jmp/0 T_5.33, 8;
 ; End of false expr.
    %blend;
T_5.33;
    %assign/vec4 v0x7fd8375c7400_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.36, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.37, 8;
T_5.36 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.38, 9;
    %load/vec4 v0x7fd8375c74a0_0;
    %jmp/1 T_5.39, 9;
T_5.38 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 51, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.39, 9;
 ; End of false expr.
    %blend;
T_5.39;
    %jmp/0 T_5.37, 8;
 ; End of false expr.
    %blend;
T_5.37;
    %assign/vec4 v0x7fd8375c74a0_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.40, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.41, 8;
T_5.40 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.42, 9;
    %load/vec4 v0x7fd8375c74a0_0;
    %jmp/1 T_5.43, 9;
T_5.42 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 127, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.43, 9;
 ; End of false expr.
    %blend;
T_5.43;
    %jmp/0 T_5.41, 8;
 ; End of false expr.
    %blend;
T_5.41;
    %assign/vec4 v0x7fd8375c7360_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.44, 8;
    %pushi/vec4 0, 0, 32;
    %jmp/1 T_5.45, 8;
T_5.44 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.46, 9;
    %load/vec4 v0x7fd8375c7680_0;
    %jmp/1 T_5.47, 9;
T_5.46 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %cmpi/e 35, 0, 7;
    %flag_mov 10, 4;
    %jmp/0 T_5.48, 10;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 1, 31, 6;
    %flag_set/vec4 11;
    %jmp/0 T_5.50, 11;
    %load/vec4 v0x7fd8375c4ac0_0;
    %parti/s 20, 12, 5;
    %jmp/1 T_5.51, 11;
T_5.50 ; End of true expr.
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 20, 12, 5;
    %jmp/0 T_5.51, 11;
 ; End of false expr.
    %blend;
T_5.51;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 25, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 5, 7, 4;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.49, 10;
T_5.48 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %cmpi/e 99, 0, 7;
    %flag_mov 11, 4;
    %jmp/0 T_5.52, 11;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 1, 31, 6;
    %flag_set/vec4 12;
    %jmp/0 T_5.54, 12;
    %load/vec4 v0x7fd8375c4ac0_0;
    %parti/s 19, 13, 5;
    %jmp/1 T_5.55, 12;
T_5.54 ; End of true expr.
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 19, 13, 5;
    %jmp/0 T_5.55, 12;
 ; End of false expr.
    %blend;
T_5.55;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 1, 31, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 1, 7, 4;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 6, 25, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 4, 8, 5;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 1, 0, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.53, 11;
T_5.52 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %cmpi/e 111, 0, 7;
    %flag_mov 12, 4;
    %jmp/0 T_5.56, 12;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 1, 31, 6;
    %flag_set/vec4 13;
    %jmp/0 T_5.58, 13;
    %load/vec4 v0x7fd8375c4ac0_0;
    %parti/s 11, 21, 6;
    %jmp/1 T_5.59, 13;
T_5.58 ; End of true expr.
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 11, 21, 6;
    %jmp/0 T_5.59, 13;
 ; End of false expr.
    %blend;
T_5.59;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 1, 31, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 8, 12, 5;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 1, 20, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 10, 21, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 1, 0, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.57, 12;
T_5.56 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %cmpi/e 55, 0, 7;
    %flag_mov 13, 4;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %cmpi/e 23, 0, 7;
    %flag_or 4, 13;
    %flag_mov 13, 4;
    %jmp/0 T_5.60, 13;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 20, 12, 5;
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 12, 0, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.61, 13;
T_5.60 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 1, 31, 6;
    %flag_set/vec4 14;
    %jmp/0 T_5.62, 14;
    %load/vec4 v0x7fd8375c4ac0_0;
    %parti/s 20, 12, 5;
    %jmp/1 T_5.63, 14;
T_5.62 ; End of true expr.
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 20, 12, 5;
    %jmp/0 T_5.63, 14;
 ; End of false expr.
    %blend;
T_5.63;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 12, 20, 6;
    %concat/vec4; draw_concat_vec4
    %jmp/0 T_5.61, 13;
 ; End of false expr.
    %blend;
T_5.61;
    %jmp/0 T_5.57, 12;
 ; End of false expr.
    %blend;
T_5.57;
    %jmp/0 T_5.53, 11;
 ; End of false expr.
    %blend;
T_5.53;
    %jmp/0 T_5.49, 10;
 ; End of false expr.
    %blend;
T_5.49;
    %jmp/0 T_5.47, 9;
 ; End of false expr.
    %blend;
T_5.47;
    %jmp/0 T_5.45, 8;
 ; End of false expr.
    %blend;
T_5.45;
    %assign/vec4 v0x7fd8375c7680_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.64, 8;
    %pushi/vec4 0, 0, 32;
    %jmp/1 T_5.65, 8;
T_5.64 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.66, 9;
    %load/vec4 v0x7fd8375c6140_0;
    %jmp/1 T_5.67, 9;
T_5.66 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %cmpi/e 35, 0, 7;
    %flag_mov 10, 4;
    %jmp/0 T_5.68, 10;
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 20, 12, 5;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 25, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 5, 7, 4;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.69, 10;
T_5.68 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %cmpi/e 99, 0, 7;
    %flag_mov 11, 4;
    %jmp/0 T_5.70, 11;
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 19, 13, 5;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 1, 31, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 1, 7, 4;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 6, 25, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 4, 8, 5;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 1, 0, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.71, 11;
T_5.70 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %cmpi/e 111, 0, 7;
    %flag_mov 12, 4;
    %jmp/0 T_5.72, 12;
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 11, 21, 6;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 1, 31, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 8, 12, 5;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 1, 20, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 10, 21, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 1, 0, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.73, 12;
T_5.72 ; End of true expr.
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %cmpi/e 55, 0, 7;
    %flag_mov 13, 4;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 7, 0, 2;
    %cmpi/e 23, 0, 7;
    %flag_or 4, 13;
    %flag_mov 13, 4;
    %jmp/0 T_5.74, 13;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 20, 12, 5;
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 12, 0, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.75, 13;
T_5.74 ; End of true expr.
    %load/vec4 v0x7fd83752d000_0;
    %parti/s 20, 12, 5;
    %load/vec4 v0x7fd8375c5810_0;
    %parti/s 12, 20, 6;
    %concat/vec4; draw_concat_vec4
    %jmp/0 T_5.75, 13;
 ; End of false expr.
    %blend;
T_5.75;
    %jmp/0 T_5.73, 12;
 ; End of false expr.
    %blend;
T_5.73;
    %jmp/0 T_5.71, 11;
 ; End of false expr.
    %blend;
T_5.71;
    %jmp/0 T_5.69, 10;
 ; End of false expr.
    %blend;
T_5.69;
    %jmp/0 T_5.67, 9;
 ; End of false expr.
    %blend;
T_5.67;
    %jmp/0 T_5.65, 8;
 ; End of false expr.
    %blend;
T_5.65;
    %assign/vec4 v0x7fd8375c6140_0, 0;
    %jmp T_5;
    .thread T_5;
    .scope S_0x7fd837572930;
T_6 ;
    %wait E_0x7fd837581470;
    %load/vec4 v0x7fd8375c7540_0;
    %nor/r;
    %flag_set/vec4 8;
    %jmp/0xz  T_6.0, 8;
    %load/vec4 v0x7fd8375d0480_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fd8375d0480_0, 0, 32;
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_6.2, 8;
    %load/vec4 v0x7fd8375c6a30_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_6.4, 8;
    %load/vec4 v0x7fd8375d07f0_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fd8375d07f0_0, 0, 32;
    %jmp T_6.5;
T_6.4 ;
    %load/vec4 v0x7fd8375c5b50_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_6.6, 8;
    %load/vec4 v0x7fd8375d0690_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fd8375d0690_0, 0, 32;
    %jmp T_6.7;
T_6.6 ;
    %load/vec4 v0x7fd8375d05e0_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fd8375d05e0_0, 0, 32;
T_6.7 ;
T_6.5 ;
    %jmp T_6.3;
T_6.2 ;
    %load/vec4 v0x7fd8375c5550_0;
    %cmpi/ne 0, 0, 2;
    %jmp/0xz  T_6.8, 4;
    %load/vec4 v0x7fd8375d0530_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fd8375d0530_0, 0, 32;
    %jmp T_6.9;
T_6.8 ;
    %load/vec4 v0x7fd8375d0740_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fd8375d0740_0, 0, 32;
T_6.9 ;
T_6.3 ;
    %load/vec4 v0x7fd8375c54b0_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_6.10, 8;
    %vpi_call 4 381 "$display", "****************************************************************************" {0 0 0};
    %vpi_call 4 382 "$display", "DarkRISCV Pipeline Report:" {0 0 0};
    %vpi_call 4 383 "$display", "core0  clocks: %0d", v0x7fd8375d0480_0 {0 0 0};
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fd8375d0740_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fd8375d0480_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %vpi_call 4 385 "$display", "core0: running %0d%%", W<0,r> {0 1 0};
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fd8375d0690_0;
    %load/vec4 v0x7fd8375d07f0_0;
    %add;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fd8375d0480_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fd8375d0690_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fd8375d0480_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fd8375d07f0_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fd8375d0480_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fd8375d05e0_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fd8375d0480_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %vpi_call 4 391 "$display", "core0:  halted %0d%% (%0d%% load, %0d%% store, %0d%% busy)", W<3,r>, W<2,r>, W<1,r>, W<0,r> {0 4 0};
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fd8375d0530_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fd8375d0480_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %vpi_call 4 397 "$display", "core0: stalled %0d%%", W<0,r> {0 1 0};
    %vpi_call 4 401 "$display", "****************************************************************************" {0 0 0};
    %vpi_call 4 402 "$finish" {0 0 0};
T_6.10 ;
T_6.0 ;
    %jmp T_6;
    .thread T_6;
    .scope S_0x7fd837572930;
T_7 ;
    %wait E_0x7fd837581470;
    %load/vec4 v0x7fd8375c6440_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.0, 8;
    %pushi/vec4 15, 0, 4;
    %jmp/1 T_7.1, 8;
T_7.0 ; End of true expr.
    %load/vec4 v0x7fd8375c64d0_0;
    %cmpi/ne 0, 0, 4;
    %flag_mov 9, 4;
    %jmp/0 T_7.2, 9;
    %load/vec4 v0x7fd8375c64d0_0;
    %subi 1, 0, 4;
    %jmp/1 T_7.3, 9;
T_7.2 ; End of true expr.
    %pushi/vec4 0, 0, 4;
    %jmp/0 T_7.3, 9;
 ; End of false expr.
    %blend;
T_7.3;
    %jmp/0 T_7.1, 8;
 ; End of false expr.
    %blend;
T_7.1;
    %assign/vec4 v0x7fd8375c64d0_0, 0;
    %load/vec4 v0x7fd8375c64d0_0;
    %or/r;
    %assign/vec4 v0x7fd8375c7540_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.4, 8;
    %pushi/vec4 2, 0, 3;
    %jmp/1 T_7.5, 8;
T_7.4 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_7.6, 9;
    %load/vec4 v0x7fd8375c5550_0;
    %pad/u 3;
    %jmp/1 T_7.7, 9;
T_7.6 ; End of true expr.
    %load/vec4 v0x7fd8375c5550_0;
    %cmpi/ne 0, 0, 2;
    %flag_mov 10, 4;
    %jmp/0 T_7.8, 10;
    %load/vec4 v0x7fd8375c5550_0;
    %pad/u 3;
    %subi 1, 0, 3;
    %jmp/1 T_7.9, 10;
T_7.8 ; End of true expr.
    %load/vec4 v0x7fd8375c58c0_0;
    %flag_set/vec4 11;
    %load/vec4 v0x7fd8375c5960_0;
    %flag_set/vec4 12;
    %flag_or 12, 11;
    %load/vec4 v0x7fd8375c4ca0_0;
    %flag_set/vec4 11;
    %flag_or 11, 12;
    %jmp/0 T_7.10, 11;
    %pushi/vec4 2, 0, 3;
    %jmp/1 T_7.11, 11;
T_7.10 ; End of true expr.
    %pushi/vec4 0, 0, 3;
    %jmp/0 T_7.11, 11;
 ; End of false expr.
    %blend;
T_7.11;
    %jmp/0 T_7.9, 10;
 ; End of false expr.
    %blend;
T_7.9;
    %jmp/0 T_7.7, 9;
 ; End of false expr.
    %blend;
T_7.7;
    %jmp/0 T_7.5, 8;
 ; End of false expr.
    %blend;
T_7.5;
    %pad/u 2;
    %assign/vec4 v0x7fd8375c5550_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.12, 8;
    %load/vec4 v0x7fd8375c64d0_0;
    %pad/u 32;
    %cmpi/e 2, 0, 32;
    %flag_mov 9, 4;
    %jmp/0 T_7.14, 9;
    %pushi/vec4 8192, 0, 32;
    %jmp/1 T_7.15, 9;
T_7.14 ; End of true expr.
    %pushi/vec4 0, 0, 32;
    %jmp/0 T_7.15, 9;
 ; End of false expr.
    %blend;
T_7.15;
    %jmp/1 T_7.13, 8;
T_7.12 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_7.16, 9;
    %load/vec4 v0x7fd8375c52a0_0;
    %pad/u 6;
    %ix/vec4 4;
    %load/vec4a v0x7fd8375c6320, 4;
    %jmp/1 T_7.17, 9;
T_7.16 ; End of true expr.
    %load/vec4 v0x7fd8375c52a0_0;
    %nor/r;
    %flag_set/vec4 10;
    %jmp/0 T_7.18, 10;
    %pushi/vec4 0, 0, 32;
    %jmp/1 T_7.19, 10;
T_7.18 ; End of true expr.
    %load/vec4 v0x7fd8375c4b60_0;
    %flag_set/vec4 11;
    %jmp/0 T_7.20, 11;
    %load/vec4 v0x7fd8375c6090_0;
    %load/vec4 v0x7fd8375c6b80_0;
    %add;
    %jmp/1 T_7.21, 11;
T_7.20 ; End of true expr.
    %load/vec4 v0x7fd8375c58c0_0;
    %flag_set/vec4 12;
    %load/vec4 v0x7fd8375c5960_0;
    %flag_set/vec4 13;
    %flag_or 13, 12;
    %jmp/0 T_7.22, 13;
    %load/vec4 v0x7fd8375c5e80_0;
    %jmp/1 T_7.23, 13;
T_7.22 ; End of true expr.
    %load/vec4 v0x7fd8375c5ca0_0;
    %flag_set/vec4 12;
    %jmp/0 T_7.24, 12;
    %load/vec4 v0x7fd8375c6b80_0;
    %jmp/1 T_7.25, 12;
T_7.24 ; End of true expr.
    %load/vec4 v0x7fd8375c5b50_0;
    %flag_set/vec4 14;
    %jmp/0 T_7.26, 14;
    %load/vec4 v0x7fd8375c5bf0_0;
    %jmp/1 T_7.27, 14;
T_7.26 ; End of true expr.
    %load/vec4 v0x7fd8375c5de0_0;
    %flag_set/vec4 15;
    %load/vec4 v0x7fd8375c5600_0;
    %flag_set/vec4 16;
    %flag_or 16, 15;
    %jmp/0 T_7.28, 16;
    %load/vec4 v0x7fd8375c6570_0;
    %jmp/1 T_7.29, 16;
T_7.28 ; End of true expr.
    %load/vec4 v0x7fd8375c52a0_0;
    %pad/u 6;
    %ix/vec4 4;
    %load/vec4a v0x7fd8375c6320, 4;
    %jmp/0 T_7.29, 16;
 ; End of false expr.
    %blend;
T_7.29;
    %jmp/0 T_7.27, 14;
 ; End of false expr.
    %blend;
T_7.27;
    %jmp/0 T_7.25, 12;
 ; End of false expr.
    %blend;
T_7.25;
    %jmp/0 T_7.23, 13;
 ; End of false expr.
    %blend;
T_7.23;
    %jmp/0 T_7.21, 11;
 ; End of false expr.
    %blend;
T_7.21;
    %jmp/0 T_7.19, 10;
 ; End of false expr.
    %blend;
T_7.19;
    %jmp/0 T_7.17, 9;
 ; End of false expr.
    %blend;
T_7.17;
    %jmp/0 T_7.13, 8;
 ; End of false expr.
    %blend;
T_7.13;
    %load/vec4 v0x7fd8375c52a0_0;
    %pad/u 6;
    %ix/vec4 3;
    %ix/load 4, 0, 0; Constant delay
    %assign/vec4/a/d v0x7fd8375c6320, 0, 4;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.30, 8;
    %load/vec4 v0x7fd8375c64d0_0;
    %pad/u 32;
    %cmpi/e 2, 0, 32;
    %flag_mov 9, 4;
    %jmp/0 T_7.32, 9;
    %pushi/vec4 8192, 0, 32;
    %jmp/1 T_7.33, 9;
T_7.32 ; End of true expr.
    %pushi/vec4 0, 0, 32;
    %jmp/0 T_7.33, 9;
 ; End of false expr.
    %blend;
T_7.33;
    %jmp/1 T_7.31, 8;
T_7.30 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_7.34, 9;
    %load/vec4 v0x7fd8375c52a0_0;
    %pad/u 6;
    %ix/vec4 4;
    %load/vec4a v0x7fd8375c63b0, 4;
    %jmp/1 T_7.35, 9;
T_7.34 ; End of true expr.
    %load/vec4 v0x7fd8375c52a0_0;
    %nor/r;
    %flag_set/vec4 10;
    %jmp/0 T_7.36, 10;
    %pushi/vec4 0, 0, 32;
    %jmp/1 T_7.37, 10;
T_7.36 ; End of true expr.
    %load/vec4 v0x7fd8375c4b60_0;
    %flag_set/vec4 11;
    %jmp/0 T_7.38, 11;
    %load/vec4 v0x7fd8375c6090_0;
    %load/vec4 v0x7fd8375c6b80_0;
    %add;
    %jmp/1 T_7.39, 11;
T_7.38 ; End of true expr.
    %load/vec4 v0x7fd8375c58c0_0;
    %flag_set/vec4 12;
    %load/vec4 v0x7fd8375c5960_0;
    %flag_set/vec4 13;
    %flag_or 13, 12;
    %jmp/0 T_7.40, 13;
    %load/vec4 v0x7fd8375c5e80_0;
    %jmp/1 T_7.41, 13;
T_7.40 ; End of true expr.
    %load/vec4 v0x7fd8375c5ca0_0;
    %flag_set/vec4 12;
    %jmp/0 T_7.42, 12;
    %load/vec4 v0x7fd8375c6b80_0;
    %jmp/1 T_7.43, 12;
T_7.42 ; End of true expr.
    %load/vec4 v0x7fd8375c5b50_0;
    %flag_set/vec4 14;
    %jmp/0 T_7.44, 14;
    %load/vec4 v0x7fd8375c5bf0_0;
    %jmp/1 T_7.45, 14;
T_7.44 ; End of true expr.
    %load/vec4 v0x7fd8375c5de0_0;
    %flag_set/vec4 15;
    %load/vec4 v0x7fd8375c5600_0;
    %flag_set/vec4 16;
    %flag_or 16, 15;
    %jmp/0 T_7.46, 16;
    %load/vec4 v0x7fd8375c6570_0;
    %jmp/1 T_7.47, 16;
T_7.46 ; End of true expr.
    %load/vec4 v0x7fd8375c52a0_0;
    %pad/u 6;
    %ix/vec4 4;
    %load/vec4a v0x7fd8375c63b0, 4;
    %jmp/0 T_7.47, 16;
 ; End of false expr.
    %blend;
T_7.47;
    %jmp/0 T_7.45, 14;
 ; End of false expr.
    %blend;
T_7.45;
    %jmp/0 T_7.43, 12;
 ; End of false expr.
    %blend;
T_7.43;
    %jmp/0 T_7.41, 13;
 ; End of false expr.
    %blend;
T_7.41;
    %jmp/0 T_7.39, 11;
 ; End of false expr.
    %blend;
T_7.39;
    %jmp/0 T_7.37, 10;
 ; End of false expr.
    %blend;
T_7.37;
    %jmp/0 T_7.35, 9;
 ; End of false expr.
    %blend;
T_7.35;
    %jmp/0 T_7.31, 8;
 ; End of false expr.
    %blend;
T_7.31;
    %load/vec4 v0x7fd8375c52a0_0;
    %pad/u 6;
    %ix/vec4 3;
    %ix/load 4, 0, 0; Constant delay
    %assign/vec4/a/d v0x7fd8375c63b0, 0, 4;
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.48, 8;
    %load/vec4 v0x7fd8375c5e80_0;
    %jmp/1 T_7.49, 8;
T_7.48 ; End of true expr.
    %load/vec4 v0x7fd8375c5f30_0;
    %jmp/0 T_7.49, 8;
 ; End of false expr.
    %blend;
T_7.49;
    %assign/vec4 v0x7fd8375c5e80_0, 0;
    %load/vec4 v0x7fd8375c7540_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.50, 8;
    %pushi/vec4 0, 0, 32;
    %jmp/1 T_7.51, 8;
T_7.50 ; End of true expr.
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 9;
    %jmp/0 T_7.52, 9;
    %load/vec4 v0x7fd8375c5f30_0;
    %jmp/1 T_7.53, 9;
T_7.52 ; End of true expr.
    %load/vec4 v0x7fd8375c5a00_0;
    %flag_set/vec4 10;
    %jmp/0 T_7.54, 10;
    %load/vec4 v0x7fd8375c5aa0_0;
    %jmp/1 T_7.55, 10;
T_7.54 ; End of true expr.
    %load/vec4 v0x7fd8375c5f30_0;
    %addi 4, 0, 32;
    %jmp/0 T_7.55, 10;
 ; End of false expr.
    %blend;
T_7.55;
    %jmp/0 T_7.53, 9;
 ; End of false expr.
    %blend;
T_7.53;
    %jmp/0 T_7.51, 8;
 ; End of false expr.
    %blend;
T_7.51;
    %assign/vec4 v0x7fd8375c5f30_0, 0;
    %load/vec4 v0x7fd8375c56e0_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.56, 8;
    %load/vec4 v0x7fd8375c6090_0;
    %jmp/1 T_7.57, 8;
T_7.56 ; End of true expr.
    %load/vec4 v0x7fd8375c5e80_0;
    %jmp/0 T_7.57, 8;
 ; End of false expr.
    %blend;
T_7.57;
    %assign/vec4 v0x7fd8375c6090_0, 0;
    %jmp T_7;
    .thread T_7;
    .scope S_0x7fd837573a70;
T_8 ;
    %pushi/vec4 255, 0, 8;
    %store/vec4 v0x7fd8375d4470_0, 0, 8;
    %pushi/vec4 0, 0, 16;
    %store/vec4 v0x7fd8375d3d30_0, 0, 16;
    %pushi/vec4 0, 0, 16;
    %store/vec4 v0x7fd8375d4680_0, 0, 16;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fd8375d3f00_0, 0, 32;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fd8375d3e50_0, 0, 1;
    %pushi/vec4 0, 0, 2;
    %store/vec4 v0x7fd8375d3780_0, 0, 2;
    %pushi/vec4 0, 0, 8;
    %store/vec4 v0x7fd8375d43c0_0, 0, 8;
    %pushi/vec4 0, 0, 8;
    %store/vec4 v0x7fd8375d3fe0_0, 0, 8;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fd8375d4d10_0, 0, 32;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fd8375d5400_0, 0, 1;
    %end;
    .thread T_8;
    .scope S_0x7fd837573a70;
T_9 ;
    %wait E_0x7fd83757e300;
    %load/vec4 v0x7fd8375d5360_0;
    %pad/u 32;
    %cmpi/e 1, 0, 32;
    %flag_mov 8, 4;
    %jmp/0 T_9.0, 8;
    %pushi/vec4 255, 0, 8;
    %jmp/1 T_9.1, 8;
T_9.0 ; End of true expr.
    %load/vec4 v0x7fd8375d4470_0;
    %parti/s 1, 7, 4;
    %flag_set/vec4 9;
    %jmp/0 T_9.2, 9;
    %load/vec4 v0x7fd8375d4470_0;
    %subi 1, 0, 8;
    %jmp/1 T_9.3, 9;
T_9.2 ; End of true expr.
    %pushi/vec4 0, 0, 8;
    %jmp/0 T_9.3, 9;
 ; End of false expr.
    %blend;
T_9.3;
    %jmp/0 T_9.1, 8;
 ; End of false expr.
    %blend;
T_9.1;
    %assign/vec4 v0x7fd8375d4470_0, 0;
    %jmp T_9;
    .thread T_9;
    .scope S_0x7fd837573a70;
T_10 ;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fd8375dc390_0, 0, 32;
T_10.0 ;
    %load/vec4 v0x7fd8375dc390_0;
    %cmpi/ne 2048, 0, 32;
    %jmp/0xz T_10.1, 4;
    %pushi/vec4 0, 0, 32;
    %ix/getv/s 4, v0x7fd8375dc390_0;
    %store/vec4a v0x7fd8375d4730, 4, 0;
    %load/vec4 v0x7fd8375dc390_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fd8375dc390_0, 0, 32;
    %jmp T_10.0;
T_10.1 ;
    %vpi_call 3 242 "$readmemh", "../src/darksocv.mem", v0x7fd8375d4730 {0 0 0};
    %end;
    .thread T_10;
    .scope S_0x7fd837573a70;
T_11 ;
    %wait E_0x7fd837581470;
    %load/vec4 v0x7fd8375d3dc0_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_11.0, 8;
    %load/vec4 v0x7fd8375d49f0_0;
    %assign/vec4 v0x7fd8375d3f00_0, 0;
T_11.0 ;
    %load/vec4 v0x7fd8375d3dc0_0;
    %assign/vec4 v0x7fd8375d3e50_0, 0;
    %jmp T_11;
    .thread T_11;
    .scope S_0x7fd837573a70;
T_12 ;
    %wait E_0x7fd837581470;
    %load/vec4 v0x7fd8375d4090_0;
    %parti/s 11, 2, 3;
    %pad/u 13;
    %ix/vec4 4;
    %load/vec4a v0x7fd8375d4730, 4;
    %assign/vec4 v0x7fd8375d49f0_0, 0;
    %jmp T_12;
    .thread T_12;
    .scope S_0x7fd837573a70;
T_13 ;
    %wait E_0x7fd837581470;
    %load/vec4 v0x7fd8375d4920_0;
    %flag_set/vec4 8;
    %jmp/0 T_13.0, 8;
    %pushi/vec4 0, 0, 2;
    %jmp/1 T_13.1, 8;
T_13.0 ; End of true expr.
    %load/vec4 v0x7fd8375d3780_0;
    %cmpi/ne 0, 0, 2;
    %flag_mov 9, 4;
    %jmp/0 T_13.2, 9;
    %load/vec4 v0x7fd8375d3780_0;
    %subi 1, 0, 2;
    %jmp/1 T_13.3, 9;
T_13.2 ; End of true expr.
    %load/vec4 v0x7fd8375d4880_0;
    %flag_set/vec4 10;
    %jmp/0 T_13.4, 10;
    %pushi/vec4 1, 0, 2;
    %jmp/1 T_13.5, 10;
T_13.4 ; End of true expr.
    %pushi/vec4 0, 0, 2;
    %jmp/0 T_13.5, 10;
 ; End of false expr.
    %blend;
T_13.5;
    %jmp/0 T_13.3, 9;
 ; End of false expr.
    %blend;
T_13.3;
    %jmp/0 T_13.1, 8;
 ; End of false expr.
    %blend;
T_13.1;
    %assign/vec4 v0x7fd8375d3780_0, 0;
    %jmp T_13;
    .thread T_13;
    .scope S_0x7fd837573a70;
T_14 ;
    %wait E_0x7fd837581470;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 11, 2, 3;
    %pad/u 13;
    %ix/vec4 4;
    %load/vec4a v0x7fd8375d4730, 4;
    %assign/vec4 v0x7fd8375d47d0_0, 0;
    %jmp T_14;
    .thread T_14;
    .scope S_0x7fd837573a70;
T_15 ;
    %wait E_0x7fd837581470;
    %load/vec4 v0x7fd8375d3dc0_0;
    %nor/r;
    %load/vec4 v0x7fd8375d50d0_0;
    %and;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 1, 31, 6;
    %pad/u 32;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %load/vec4 v0x7fd8375d33c0_0;
    %parti/s 1, 3, 3;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_15.0, 8;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 8, 24, 6;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 11, 2, 3;
    %pad/u 13;
    %ix/vec4 3;
    %ix/load 4, 24, 0; part off
    %ix/load 5, 0, 0; Constant delay
    %assign/vec4/a/d v0x7fd8375d4730, 4, 5;
T_15.0 ;
    %load/vec4 v0x7fd8375d3dc0_0;
    %nor/r;
    %load/vec4 v0x7fd8375d50d0_0;
    %and;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 1, 31, 6;
    %pad/u 32;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %load/vec4 v0x7fd8375d33c0_0;
    %parti/s 1, 2, 3;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_15.2, 8;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 8, 16, 6;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 11, 2, 3;
    %pad/u 13;
    %ix/vec4 3;
    %ix/load 4, 16, 0; part off
    %ix/load 5, 0, 0; Constant delay
    %assign/vec4/a/d v0x7fd8375d4730, 4, 5;
T_15.2 ;
    %load/vec4 v0x7fd8375d3dc0_0;
    %nor/r;
    %load/vec4 v0x7fd8375d50d0_0;
    %and;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 1, 31, 6;
    %pad/u 32;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %load/vec4 v0x7fd8375d33c0_0;
    %parti/s 1, 1, 2;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_15.4, 8;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 8, 8, 5;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 11, 2, 3;
    %pad/u 13;
    %ix/vec4 3;
    %ix/load 4, 8, 0; part off
    %ix/load 5, 0, 0; Constant delay
    %assign/vec4/a/d v0x7fd8375d4730, 4, 5;
T_15.4 ;
    %load/vec4 v0x7fd8375d3dc0_0;
    %nor/r;
    %load/vec4 v0x7fd8375d50d0_0;
    %and;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 1, 31, 6;
    %pad/u 32;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %load/vec4 v0x7fd8375d33c0_0;
    %parti/s 1, 0, 2;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_15.6, 8;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 8, 0, 2;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 11, 2, 3;
    %pad/u 13;
    %ix/vec4 3;
    %ix/load 4, 0, 0; Constant delay
    %assign/vec4/a/d v0x7fd8375d4730, 0, 4;
T_15.6 ;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 2, 2, 3;
    %pad/u 4;
    %ix/vec4 4;
    %load/vec4a v0x7fd8375d4270, 4;
    %assign/vec4 v0x7fd8375d4310_0, 0;
    %jmp T_15;
    .thread T_15;
    .scope S_0x7fd837573a70;
T_16 ;
    %wait E_0x7fd837581470;
    %load/vec4 v0x7fd8375d50d0_0;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 1, 31, 6;
    %and;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 4, 0, 2;
    %pushi/vec4 8, 0, 4;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.0, 8;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 16, 0, 2;
    %assign/vec4 v0x7fd8375d4680_0, 0;
T_16.0 ;
    %load/vec4 v0x7fd8375d50d0_0;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 1, 31, 6;
    %and;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 4, 0, 2;
    %pushi/vec4 10, 0, 4;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.2, 8;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 16, 16, 6;
    %assign/vec4 v0x7fd8375d3d30_0, 0;
T_16.2 ;
    %load/vec4 v0x7fd8375d4920_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.4, 8;
    %pushi/vec4 99, 0, 32;
    %assign/vec4 v0x7fd8375d4da0_0, 0;
    %jmp T_16.5;
T_16.4 ;
    %load/vec4 v0x7fd8375d50d0_0;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 1, 31, 6;
    %and;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 4, 0, 2;
    %pushi/vec4 12, 0, 4;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.6, 8;
    %load/vec4 v0x7fd8375d39d0_0;
    %assign/vec4 v0x7fd8375d4da0_0, 0;
T_16.6 ;
T_16.5 ;
    %load/vec4 v0x7fd8375d4920_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.8, 8;
    %pushi/vec4 0, 0, 8;
    %assign/vec4 v0x7fd8375d3fe0_0, 0;
    %jmp T_16.9;
T_16.8 ;
    %load/vec4 v0x7fd8375d50d0_0;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 1, 31, 6;
    %and;
    %load/vec4 v0x7fd8375d3810_0;
    %parti/s 4, 0, 2;
    %pushi/vec4 3, 0, 4;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.10, 8;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 1, 31, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.12, 8;
    %load/vec4 v0x7fd8375d43c0_0;
    %parti/s 1, 7, 4;
    %jmp/1 T_16.13, 8;
T_16.12 ; End of true expr.
    %load/vec4 v0x7fd8375d3fe0_0;
    %parti/s 1, 7, 4;
    %jmp/0 T_16.13, 8;
 ; End of false expr.
    %blend;
T_16.13;
    %ix/load 4, 7, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 1, 30, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.14, 8;
    %load/vec4 v0x7fd8375d43c0_0;
    %parti/s 1, 6, 4;
    %jmp/1 T_16.15, 8;
T_16.14 ; End of true expr.
    %load/vec4 v0x7fd8375d3fe0_0;
    %parti/s 1, 6, 4;
    %jmp/0 T_16.15, 8;
 ; End of false expr.
    %blend;
T_16.15;
    %ix/load 4, 6, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 1, 29, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.16, 8;
    %load/vec4 v0x7fd8375d43c0_0;
    %parti/s 1, 5, 4;
    %jmp/1 T_16.17, 8;
T_16.16 ; End of true expr.
    %load/vec4 v0x7fd8375d3fe0_0;
    %parti/s 1, 5, 4;
    %jmp/0 T_16.17, 8;
 ; End of false expr.
    %blend;
T_16.17;
    %ix/load 4, 5, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 1, 28, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.18, 8;
    %load/vec4 v0x7fd8375d43c0_0;
    %parti/s 1, 4, 4;
    %jmp/1 T_16.19, 8;
T_16.18 ; End of true expr.
    %load/vec4 v0x7fd8375d3fe0_0;
    %parti/s 1, 4, 4;
    %jmp/0 T_16.19, 8;
 ; End of false expr.
    %blend;
T_16.19;
    %ix/load 4, 4, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 1, 27, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.20, 8;
    %load/vec4 v0x7fd8375d43c0_0;
    %parti/s 1, 3, 3;
    %jmp/1 T_16.21, 8;
T_16.20 ; End of true expr.
    %load/vec4 v0x7fd8375d3fe0_0;
    %parti/s 1, 3, 3;
    %jmp/0 T_16.21, 8;
 ; End of false expr.
    %blend;
T_16.21;
    %ix/load 4, 3, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 1, 26, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.22, 8;
    %load/vec4 v0x7fd8375d43c0_0;
    %parti/s 1, 2, 3;
    %jmp/1 T_16.23, 8;
T_16.22 ; End of true expr.
    %load/vec4 v0x7fd8375d3fe0_0;
    %parti/s 1, 2, 3;
    %jmp/0 T_16.23, 8;
 ; End of false expr.
    %blend;
T_16.23;
    %ix/load 4, 2, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 1, 25, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.24, 8;
    %load/vec4 v0x7fd8375d43c0_0;
    %parti/s 1, 1, 2;
    %jmp/1 T_16.25, 8;
T_16.24 ; End of true expr.
    %load/vec4 v0x7fd8375d3fe0_0;
    %parti/s 1, 1, 2;
    %jmp/0 T_16.25, 8;
 ; End of false expr.
    %blend;
T_16.25;
    %ix/load 4, 1, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
    %load/vec4 v0x7fd8375d39d0_0;
    %parti/s 1, 24, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.26, 8;
    %load/vec4 v0x7fd8375d43c0_0;
    %parti/s 1, 0, 2;
    %jmp/1 T_16.27, 8;
T_16.26 ; End of true expr.
    %load/vec4 v0x7fd8375d3fe0_0;
    %parti/s 1, 0, 2;
    %jmp/0 T_16.27, 8;
 ; End of false expr.
    %blend;
T_16.27;
    %ix/load 4, 0, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
T_16.10 ;
T_16.9 ;
    %load/vec4 v0x7fd8375d4920_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.28, 8;
    %pushi/vec4 0, 0, 8;
    %assign/vec4 v0x7fd8375d43c0_0, 0;
    %jmp T_16.29;
T_16.28 ;
    %load/vec4 v0x7fd8375d4da0_0;
    %cmpi/ne 0, 0, 32;
    %jmp/0xz  T_16.30, 4;
    %load/vec4 v0x7fd8375d4d10_0;
    %cmpi/ne 0, 0, 32;
    %flag_mov 8, 4;
    %jmp/0 T_16.32, 8;
    %load/vec4 v0x7fd8375d4d10_0;
    %subi 1, 0, 32;
    %jmp/1 T_16.33, 8;
T_16.32 ; End of true expr.
    %load/vec4 v0x7fd8375d4da0_0;
    %jmp/0 T_16.33, 8;
 ; End of false expr.
    %blend;
T_16.33;
    %assign/vec4 v0x7fd8375d4d10_0, 0;
    %load/vec4 v0x7fd8375d4d10_0;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %load/vec4 v0x7fd8375d43c0_0;
    %load/vec4 v0x7fd8375d3fe0_0;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.34, 8;
    %load/vec4 v0x7fd8375d3fe0_0;
    %parti/s 1, 7, 4;
    %nor/r;
    %ix/load 4, 7, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fd8375d43c0_0, 4, 5;
T_16.34 ;
    %load/vec4 v0x7fd8375d5400_0;
    %load/vec4 v0x7fd8375d4d10_0;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %add;
    %assign/vec4 v0x7fd8375d5400_0, 0;
T_16.30 ;
T_16.29 ;
    %jmp T_16;
    .thread T_16;
    .scope S_0x7fd837573a70;
T_17 ;
    %vpi_call 3 751 "$dumpfile", "darksocv.vcd" {0 0 0};
    %vpi_call 3 752 "$dumpvars" {0 0 0};
    %end;
    .thread T_17;
    .scope S_0x7fd83755b530;
T_18 ;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fd8375dc4d0_0, 0, 1;
    %pushi/vec4 1, 0, 1;
    %store/vec4 v0x7fd8375dc590_0, 0, 1;
    %end;
    .thread T_18;
    .scope S_0x7fd83755b530;
T_19 ;
T_19.0 ;
    %pushi/vec4 1, 0, 32;
    %or/r;
    %flag_set/vec4 8;
    %jmp/0xz T_19.1, 8;
    %delay 5000, 0;
    %load/vec4 v0x7fd8375dc4d0_0;
    %nor/r;
    %store/vec4 v0x7fd8375dc4d0_0, 0, 1;
    %jmp T_19.0;
T_19.1 ;
    %end;
    .thread T_19;
    .scope S_0x7fd83755b530;
T_20 ;
    %vpi_call 2 46 "$display", "reset (startup)" {0 0 0};
    %delay 1000000, 0;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fd8375dc590_0, 0, 1;
    %end;
    .thread T_20;
# The file index is used to find the file name in the following table.
:file_names 6;
    "N/A";
    "<interactive>";
    "darksimv.v";
    "../rtl/darksocv.v";
    "../rtl/darkriscv.v";
    "../rtl/darkuart.v";

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.