OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [lpffir_uvm/] [include/] [reference_inc_after_class.sv] - Rev 11

Compare with Previous | Blame | View Log

function void reference::write_reference_0(input_tx t);
  send(t);
endfunction
  
function void reference::send(input_tx t);
  output_tx tx;
  tx = output_tx::type_id::create("tx");
  if (init_flag == 1)
    begin
      init_flag = 0;
      foreach(tx_save[j])
        tx_save[j] = 0;
    end
  if (save_pnt == 5)
    save_pnt = 0;
  else
  save_pnt++;
  tx_save[save_pnt] = t.data;
  tx.data = tx_save[0] + tx_save[1] + tx_save[2] + tx_save[3] + tx_save[4] + tx_save[5];
  analysis_port_0.write(tx);
  `uvm_info(get_type_name(), $sformatf("Reference Model save_pnt = %0d, data = %0d",save_pnt, tx.data), UVM_HIGH)
endfunction

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.