OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [boards/] [altera-ep3sl150/] [leon3mp.qsf] - Rev 2

Compare with Previous | Blame | View Log

# Copyright (C) 1991-2007 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions 
# and other software and tools, and its AMPP partner logic 
# functions, and any output files from any of the foregoing 
# (including device programming or simulation files), and any 
# associated documentation or information are expressly subject 
# to the terms and conditions of the Altera Program License 
# Subscription Agreement, Altera MegaCore Function License 
# Agreement, or other applicable license agreement, including, 
# without limitation, that your use is for the sole purpose of 
# programming logic devices manufactured by Altera and sold by 
# Altera or its authorized distributors.  Please refer to the 
# applicable agreement for further details.


# The default values for assignments are stored in the file
#               s3_host_top_assignment_defaults.qdf
# If this file doesn't exist, and for assignments not listed, see file
#               assignment_defaults.qdf

# Altera recommends that you do not modify this file. This
# file is updated automatically by the Quartus II software
# and any changes you make may be lost or overwritten.


set_global_assignment -name FAMILY "Stratix III"
set_global_assignment -name DEVICE EP3SL150F1152C2
#set_global_assignment -name TOP_LEVEL_ENTITY s3_host_top
#set_global_assignment -name ORIGINAL_QUARTUS_VERSION 7.2
#set_global_assignment -name PROJECT_CREATION_TIME_DATE "08:56:31  JULY 03, 2007"
#set_global_assignment -name LAST_QUARTUS_VERSION 7.2
#set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace
#set_global_assignment -name DEVICE_FILTER_PIN_COUNT 1152
set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING OFF
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_GATE_RETIME ON
set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE SPEED
set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS OFF
set_global_assignment -name STATE_MACHINE_PROCESSING "ONE-HOT"

set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER ON
set_global_assignment -name NUMBER_OF_PATHS_TO_REPORT 400

# Clocks
set_location_assignment PIN_T33 -to clk #clkin_[50]
set_location_assignment PIN_B16 -to clk125 #clkin_[125]
# set_location_assignment PIN_AP15 -to clkin_sma
# set_location_assignment PIN_AE27 -to clkout_sma
set_instance_assignment -name IO_STANDARD "1.8 V" -to clk #clkin_50
set_instance_assignment -name IO_STANDARD "1.8 V" -to clk125 #clkin_125
# set_instance_assignment -name IO_STANDARD "1.8 V" -to clkin_sma
# set_instance_assignment -name IO_STANDARD "2.5 V" -to clkout_sma
#set_global_assignment -name FMAX_REQUIREMENT "50.0 MHz"
set_global_assignment -name FMAX_REQUIREMENT "50 MHz" -section_id mainclk
set_instance_assignment -name CLOCK_SETTINGS mainclk -to clk
set_global_assignment -name FMAX_REQUIREMENT "125 MHz" -section_id mainclk2
set_instance_assignment -name CLOCK_SETTINGS mainclk2 -to clk125
set_global_assignment -name TCO_REQUIREMENT "2 ns"
set_global_assignment -name TPD_REQUIREMENT "2 ns"

# User LEDs
set_location_assignment PIN_F21 -to errorn #user_led[0]
set_location_assignment PIN_C23 -to dsuact #user_led[1]
# set_location_assignment PIN_B23 -to user_led[2]
# set_location_assignment PIN_A23 -to user_led[3]
# set_location_assignment PIN_D19 -to user_led[4]
# set_location_assignment PIN_C19 -to user_led[5]
# set_location_assignment PIN_F19 -to user_led[6]
set_location_assignment PIN_E19 -to rstoutn #user_led[7]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_led
set_instance_assignment -name IO_STANDARD "1.8 V" -to errorn #user_led[0]
set_instance_assignment -name IO_STANDARD "1.8 V" -to dsuact #user_led[1]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_led[2]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_led[3]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_led[4]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_led[5]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_led[6]
set_instance_assignment -name IO_STANDARD "1.8 V" -to rstoutn #user_led[7]

# User Push Button
set_location_assignment PIN_B17 -to dsubren #user_pb[0]
# set_location_assignment PIN_A17 -to user_pb[1]
# set_location_assignment PIN_A16 -to user_pb[2]
# set_location_assignment PIN_K17 -to user_pb[3]
set_location_assignment PIN_AP5 -to resetn #user_resetn
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_pb
set_instance_assignment -name IO_STANDARD "1.8 V" -to dsubren #user_pb[0]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_pb[1]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_pb[2]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_pb[3]
set_instance_assignment -name IO_STANDARD "2.5 V" -to resetn #user_resetn

# PSRAM
set_location_assignment PIN_D21 -to sram_advn
set_location_assignment PIN_D22 -to sram_ben[2] # sram_ben[0]
set_location_assignment PIN_E22 -to sram_ben[3] # sram_ben[1]
set_location_assignment PIN_E20 -to sram_ben[0] # sram_ben[2]
set_location_assignment PIN_H20 -to sram_ben[1] # sram_ben[3]
set_location_assignment PIN_C21 -to sram_clk
set_location_assignment PIN_A21 -to sram_csn
set_location_assignment PIN_A22 -to sram_oen
set_location_assignment PIN_AL18 -to sram_psn
set_location_assignment PIN_G20 -to sram_wait[0]
set_location_assignment PIN_F20 -to sram_wait[1]
set_location_assignment PIN_B22 -to sram_wen
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_advn
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_ben
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_ben[0]
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_ben[1]
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_ben[2]
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_ben[3]
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_clk
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_csn
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_oen
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_psn
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_wait
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_wait[0]
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_wait[1]
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_wen

# FLASH
set_location_assignment PIN_C7 -to flash_advn
set_location_assignment PIN_K25 -to flash_cen
set_location_assignment PIN_K24 -to flash_clk
set_location_assignment PIN_K23 -to flash_oen
set_location_assignment PIN_L16 -to flash_rdybsyn
set_location_assignment PIN_E13 -to flash_resetn
set_location_assignment PIN_L22 -to flash_wen
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_advn
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_cen
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_clk
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_oen
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_rdybsyn
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_resetn
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_wen
set_instance_assignment -name TOGGLE_RATE "0 MHz" -to flash_resetn

# MAXII
set_location_assignment PIN_C20 -to max_csn
set_location_assignment PIN_D20 -to max_oen
set_location_assignment PIN_K1 -to max_to_stratix3
set_location_assignment PIN_G21 -to max_wen
set_instance_assignment -name IO_STANDARD "1.8 V" -to max_csn
set_instance_assignment -name IO_STANDARD "1.8 V" -to max_oen
set_instance_assignment -name IO_STANDARD "2.5 V" -to max_to_stratix3
set_instance_assignment -name IO_STANDARD "1.8 V" -to max_wen

# Shared Address and Data for SSRAM, FLASH, and MAXII
set_location_assignment PIN_F22 -to address[0] #fsm_a[0]
set_location_assignment PIN_H23 -to address[1] #fsm_a[1]
set_location_assignment PIN_G23 -to address[2] #fsm_a[2]
set_location_assignment PIN_F23 -to address[3] #fsm_a[3]
set_location_assignment PIN_D27 -to address[4] #fsm_a[4]
set_location_assignment PIN_D28 -to address[5] #fsm_a[5]
set_location_assignment PIN_F25 -to address[6] #fsm_a[6]
set_location_assignment PIN_F26 -to address[7] #fsm_a[7]
set_location_assignment PIN_G24 -to address[8] #fsm_a[8]
set_location_assignment PIN_F24 -to address[9] #fsm_a[9]
set_location_assignment PIN_E26 -to address[10] #fsm_a[10]
set_location_assignment PIN_D26 -to address[11] #fsm_a[11]
set_location_assignment PIN_A30 -to address[12] #fsm_a[12]
set_location_assignment PIN_A33 -to address[13] #fsm_a[13]
set_location_assignment PIN_B31 -to address[14] #fsm_a[14]
set_location_assignment PIN_A31 -to address[15] #fsm_a[15]
set_location_assignment PIN_B32 -to address[16] #fsm_a[16]
set_location_assignment PIN_A32 -to address[17] #fsm_a[17]
set_location_assignment PIN_M23 -to address[18] #fsm_a[18]
set_location_assignment PIN_L23 -to address[19] #fsm_a[19]
set_location_assignment PIN_B29 -to address[20] #fsm_a[20]
set_location_assignment PIN_C29 -to address[21] #fsm_a[21]
set_location_assignment PIN_C31 -to address[22] #fsm_a[22]
set_location_assignment PIN_D31 -to address[23] #fsm_a[23]
set_location_assignment PIN_F27 -to address[24] #fsm_a[24]
set_location_assignment PIN_G27 -to data[16] # [0] #fsm_d[0]
set_location_assignment PIN_F28 -to data[17] # [1] #fsm_d[1]
set_location_assignment PIN_E28 -to data[18] # [2] #fsm_d[2]
set_location_assignment PIN_D30 -to data[19] # [3] #fsm_d[3]
set_location_assignment PIN_C30 -to data[20] # [4] #fsm_d[4]
set_location_assignment PIN_F29 -to data[21] # [5] #fsm_d[5]
set_location_assignment PIN_E29 -to data[22] # [6] #fsm_d[6]
set_location_assignment PIN_J24 -to data[23] # [7] #fsm_d[7]
set_location_assignment PIN_J25 -to data[24] # [8] #fsm_d[8]
set_location_assignment PIN_A24 -to data[25] # [9] #fsm_d[9]
set_location_assignment PIN_A26 -to data[26] # [10] #fsm_d[10]
set_location_assignment PIN_B25 -to data[27] # [11] #fsm_d[11]
set_location_assignment PIN_A25 -to data[28] # [12] #fsm_d[12]
set_location_assignment PIN_J20 -to data[29] # [13] #fsm_d[13]
set_location_assignment PIN_K20 -to data[30] # [14] #fsm_d[14]
set_location_assignment PIN_K21 -to data[31] # [15] #fsm_d[15]
set_location_assignment PIN_K22 -to data[0]  # [16] #fsm_d[16]
set_location_assignment PIN_C26 -to data[1]  # [17] #fsm_d[17]
set_location_assignment PIN_B26 -to data[2]  # [18] #fsm_d[18]
set_location_assignment PIN_J22 -to data[3]  # [19] #fsm_d[19]
set_location_assignment PIN_J21 -to data[4]  # [20] #fsm_d[20]
set_location_assignment PIN_C24 -to data[5]  # [21] #fsm_d[21]
set_location_assignment PIN_E25 -to data[6]  # [22] #fsm_d[22]
set_location_assignment PIN_D25 -to data[7]  # [23] #fsm_d[23]
set_location_assignment PIN_D24 -to data[8]  # [24] #fsm_d[24]
set_location_assignment PIN_A27 -to data[9]  # [25] #fsm_d[25]
set_location_assignment PIN_A29 -to data[10] # [26] #fsm_d[26]
set_location_assignment PIN_C27 -to data[11] # [27] #fsm_d[27]
set_location_assignment PIN_C28 -to data[12] # [28] #fsm_d[28]
set_location_assignment PIN_E23 -to data[13] # [29] #fsm_d[29]
set_location_assignment PIN_D23 -to data[14] # [30] #fsm_d[30]
set_location_assignment PIN_B28 -to data[15] # [31] #fsm_d[31]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[0]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[1]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[2]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[3]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[4]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[5]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[6]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[7]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[8]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[9]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[10]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[11]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[12]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[13]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[14]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[15]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[16]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[17]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[18]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[19]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[20]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[21]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[22]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[23]
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[24]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[0]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[1]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[2]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[3]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[4]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[5]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[6]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[7]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[8]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[9]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[10]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[11]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[12]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[13]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[14]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[15]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[16]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[17]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[18]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[19]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[20]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[21]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[22]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[23]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[24]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[25]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[26]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[27]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[28]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[29]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[30]
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[31]

 # Ethernet

 set_location_assignment PIN_AB33  -to phy_gtx_clk    #enet_gtx_clk
# set_location_assignment PIN_AB32 -to enet_intn
 set_location_assignment PIN_Y2    -to phy_mii_clk    #enet_mdc
 set_location_assignment PIN_AD30  -to phy_mii_data   #enet_mdio
 set_location_assignment PIN_Y31   -to phy_rst_n      #enet_resetn
 set_location_assignment PIN_AK28  -to phy_rx_clk     #enet_rx_clk
 set_location_assignment PIN_V33   -to phy_col        #enet_rx_col
 set_location_assignment PIN_V3    -to phy_crs        #enet_rx_crs
 set_location_assignment PIN_AE29  -to phy_rx_data[0] #enet_rx_d[0]
 set_location_assignment PIN_AM34  -to phy_rx_data[1] #enet_rx_d[1]
 set_location_assignment PIN_AL33  -to phy_rx_data[2] #enet_rx_d[2]
 set_location_assignment PIN_AJ32  -to phy_rx_data[3] #enet_rx_d[3]
 set_location_assignment PIN_AH34  -to phy_rx_data[4] #enet_rx_d[4]
 set_location_assignment PIN_AF29  -to phy_rx_data[5] #enet_rx_d[5]
 set_location_assignment PIN_AH33  -to phy_rx_data[6] #enet_rx_d[6]
 set_location_assignment PIN_V34   -to phy_rx_data[7] #enet_rx_d[7]
 set_location_assignment PIN_W5    -to phy_dv         #enet_rx_dv
 set_location_assignment PIN_AJ10  -to phy_rx_er      #enet_rx_er
# set_location_assignment PIN_Y34 -to enet_rx_n
# set_location_assignment PIN_AA33 -to enet_rx_p
# set_location_assignment PIN_W34 -to enet_s_clkn
# set_location_assignment PIN_W33 -to enet_s_clkp
 set_location_assignment PIN_AB34 -to phy_tx_clk     #enet_tx_clk
 set_location_assignment PIN_AF28 -to phy_tx_data[0] #enet_tx_d[0]
 set_location_assignment PIN_AD34 -to phy_tx_data[1] #enet_tx_d[1]
 set_location_assignment PIN_AL34 -to phy_tx_data[2] #enet_tx_d[2]
 set_location_assignment PIN_W30  -to phy_tx_data[3] #enet_tx_d[3]
 set_location_assignment PIN_AD33 -to phy_tx_data[4] #enet_tx_d[4]
 set_location_assignment PIN_AJ34 -to phy_tx_data[5] #enet_tx_d[5]
 set_location_assignment PIN_AJ31 -to phy_tx_data[6] #enet_tx_d[6]
 set_location_assignment PIN_AG30 -to phy_tx_data[7] #enet_tx_d[7]
 set_location_assignment PIN_AA34 -to phy_tx_en      #enet_tx_en
 set_location_assignment PIN_AA29 -to phy_tx_er      #enet_tx_er
# set_location_assignment PIN_V29 -to enet_tx_n
# set_location_assignment PIN_W28 -to enet_tx_p
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_gtx_clk    #enet_gtx_clk
# set_instance_assignment -name IO_STANDARD "2.5 V" -to enet_intn
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_mii_clk    #enet_mdc
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_mii_data   #enet_mdio
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rst_n      #enet_resetn
 set_instance_assignment -name IO_STANDARD "1.8 V" -to phy_rx_clk     #enet_rx_clk
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_col        #enet_rx_col
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_crs        #enet_rx_crs
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data    #enet_rx_d
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[0] #enet_rx_d[0]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[1] #enet_rx_d[1]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[2] #enet_rx_d[2]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[3] #enet_rx_d[3]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[4] #enet_rx_d[4]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[5] #enet_rx_d[5]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[6] #enet_rx_d[6]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[7] #enet_rx_d[7]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_dv         #enet_rx_dv
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_er      #enet_rx_er
# set_instance_assignment -name IO_STANDARD LVDS -to enet_rx_n
# set_instance_assignment -name IO_STANDARD LVDS -to enet_rx_p
# set_instance_assignment -name IO_STANDARD LVDS -to enet_s_clkn
# set_instance_assignment -name IO_STANDARD LVDS -to enet_s_clkp
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_clk     #enet_tx_clk
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data    #enet_tx_d
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[0] #enet_tx_d[0]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[1] #enet_tx_d[1]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[2] #enet_tx_d[2]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[3] #enet_tx_d[3]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[4] #enet_tx_d[4]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[5] #enet_tx_d[5]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[6] #enet_tx_d[6]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[7] #enet_tx_d[7]
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_en      #enet_tx_en
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_er      #enet_tx_er
# set_instance_assignment -name IO_STANDARD LVDS -to enet_tx_n
# set_instance_assignment -name IO_STANDARD LVDS -to enet_tx_p
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to enet_mdc
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to enet_mdio
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to enet_resetn
set_global_assignment -name FMAX_REQUIREMENT "25 MHz" -section_id ethrxclk
set_instance_assignment -name CLOCK_SETTINGS ethrxclk -to phy_rx_clk
set_global_assignment -name FMAX_REQUIREMENT "25 MHz" -section_id ethtxclk
set_instance_assignment -name CLOCK_SETTINGS ethtxclk -to phy_tx_clk
set_instance_assignment -name TCO_REQUIREMENT "20 ns" -from phy_tx_clk -to *
set_instance_assignment -name TSU_REQUIREMENT "10 ns" -from * -to phy_rx_clk
set_instance_assignment -name TSU_REQUIREMENT "10 ns" -from * -to phy_tx_clk

# DDR2 device A
# set_location_assignment PIN_F34 -to ddra_ad[0]    #ddr2_deva_a[0]
# set_location_assignment PIN_G34 -to ddra_ad[1]    #ddr2_deva_a[1]
# set_location_assignment PIN_G31 -to ddra_ad[2]    #ddr2_deva_a[2]
# set_location_assignment PIN_N24 -to ddra_ad[3]    #ddr2_deva_a[3]
# set_location_assignment PIN_L29 -to ddra_ad[4]    #ddr2_deva_a[4]
# set_location_assignment PIN_M30 -to ddra_ad[5]    #ddr2_deva_a[5]
# set_location_assignment PIN_L31 -to ddra_ad[6]    #ddr2_deva_a[6]
# set_location_assignment PIN_P25 -to ddra_ad[7]    #ddr2_deva_a[7]
# set_location_assignment PIN_K33 -to ddra_ad[8]    #ddr2_deva_a[8]
# set_location_assignment PIN_M29 -to ddra_ad[9]    #ddr2_deva_a[9]
# set_location_assignment PIN_J34 -to ddra_ad[10]   #ddr2_deva_a[10]
# set_location_assignment PIN_L32 -to ddra_ad[11]   #ddr2_deva_a[11]
# set_location_assignment PIN_P23 -to ddra_ad[12]   #ddr2_deva_a[12]
# set_location_assignment PIN_M26 -to ddra_ad[13]   #ddr2_deva_a[13]
# set_location_assignment PIN_N26 -to ddra_ad[14]   #ddr2_deva_a[14]
# set_location_assignment PIN_H34 -to ddra_ba[0]    #ddr2_deva_ba[0]
# set_location_assignment PIN_K30 -to ddra_ba[1]    #ddr2_deva_ba[1]
# set_location_assignment PIN_J33 -to ddra_ba[2]    #ddr2_deva_ba[2]
# set_location_assignment PIN_G30 -to ddra_casb     #ddr2_deva_casn
# set_location_assignment PIN_K32 -to ddrab_clkb[0] #ddr2_deva_ck_n
# set_location_assignment PIN_K31 -to ddrab_clk[0]  #ddr2_deva_ck_p
# set_location_assignment PIN_M27 -to ddra_cke      #ddr2_deva_cke
  set_location_assignment PIN_E34 -to ddra_csb      #ddr2_deva_csn
# set_location_assignment PIN_F31 -to ddrab_dm[0]   #ddr2_deva_dm
# set_location_assignment PIN_K27 -to ddrab_dq[0]   #ddr2_deva_dq[0]
# set_location_assignment PIN_J30 -to ddrab_dq[1]   #ddr2_deva_dq[1]
# set_location_assignment PIN_K28 -to ddrab_dq[2]   #ddr2_deva_dq[2]
# set_location_assignment PIN_J29 -to ddrab_dq[3]   #ddr2_deva_dq[3]
# set_location_assignment PIN_H32 -to ddrab_dq[4]   #ddr2_deva_dq[4]
# set_location_assignment PIN_M24 -to ddrab_dq[5]   #ddr2_deva_dq[5]
# set_location_assignment PIN_H31 -to ddrab_dq[6]   #ddr2_deva_dq[6]
# set_location_assignment PIN_N25 -to ddrab_dq[7]   #ddr2_deva_dq[7]
# set_location_assignment PIN_C34 -to ddrab_dqsn[0] #ddr2_deva_dqs_n
# set_location_assignment PIN_C33 -to ddrab_dqsp[0] #ddr2_deva_dqs_p
# set_location_assignment PIN_M28 -to ddrab_odt[0]  #ddr2_deva_odt
# set_location_assignment PIN_F32 -to ddra_rasb     #ddr2_deva_rasn
# set_location_assignment PIN_G33 -to ddra_web      #ddr2_deva_wen
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad       #ddr2_deva_a
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[0]    #ddr2_deva_a[0]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[1]    #ddr2_deva_a[1]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[2]    #ddr2_deva_a[2]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[3]    #ddr2_deva_a[3]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[4]    #ddr2_deva_a[4]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[5]    #ddr2_deva_a[5]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[6]    #ddr2_deva_a[6]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[7]    #ddr2_deva_a[7]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[8]    #ddr2_deva_a[8]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[9]    #ddr2_deva_a[9]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[10]   #ddr2_deva_a[10]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[11]   #ddr2_deva_a[11]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[12]   #ddr2_deva_a[12]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[13]   #ddr2_deva_a[13]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[14]   #ddr2_deva_a[14]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ba       #ddr2_deva_ba
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ba[0]    #ddr2_deva_ba[0]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ba[1]    #ddr2_deva_ba[1]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ba[2]    #ddr2_deva_ba[2]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_casb     #ddr2_deva_casn
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddrab_clkb[0]
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddrab_clk[0]
# set_instance_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER ON -to ddrab_clk[0]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_cke      #ddr2_deva_cke
  set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_csb      #ddr2_deva_csn
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dm[0]   #ddr2_deva_dm
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq      #ddr2_deva_dq
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[0]   #ddr2_deva_dq[0]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[1]   #ddr2_deva_dq[1]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[2]   #ddr2_deva_dq[2]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[3]   #ddr2_deva_dq[3]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[4]   #ddr2_deva_dq[4]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[5]   #ddr2_deva_dq[5]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[6]   #ddr2_deva_dq[6]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[7]   #ddr2_deva_dq[7]
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddrab_dqsn[0] #ddr2_deva_dqs_n

# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddrab_dqsp[0] #ddr2_deva_dqs_p

# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrab_odt[0]  #ddr2_deva_odt
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_rasb     #ddr2_deva_rasn
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_web      #ddr2_deva_wen
 
# DDR2 device B
# set_location_assignment PIN_R27 -to ddrb_ad[0]    #ddr2_devb_a[0]
# set_location_assignment PIN_R29 -to ddrb_ad[1]    #ddr2_devb_a[1]
# set_location_assignment PIN_J31 -to ddrb_ad[2]    #ddr2_devb_a[2]
# set_location_assignment PIN_U32 -to ddrb_ad[3]    #ddr2_devb_a[3]
# set_location_assignment PIN_K34 -to ddrb_ad[4]    #ddr2_devb_a[4]
# set_location_assignment PIN_T23 -to ddrb_ad[5]    #ddr2_devb_a[5]
# set_location_assignment PIN_M34 -to ddrb_ad[6]    #ddr2_devb_a[6]
# set_location_assignment PIN_U31 -to ddrb_ad[7]    #ddr2_devb_a[7]
# set_location_assignment PIN_R24 -to ddrb_ad[8]    #ddr2_devb_a[8]
# set_location_assignment PIN_V31 -to ddrb_ad[9]    #ddr2_devb_a[9]
# set_location_assignment PIN_P34 -to ddrb_ad[10]   #ddr2_devb_a[10]
# set_location_assignment PIN_T29 -to ddrb_ad[11]   #ddr2_devb_a[11]
# set_location_assignment PIN_V32 -to ddrb_ad[12]   #ddr2_devb_a[12]
# set_location_assignment PIN_R28 -to ddrb_ad[13]   #ddr2_devb_a[13]
# set_location_assignment PIN_T30 -to ddrb_ad[14]   #ddr2_devb_a[14]
# set_location_assignment PIN_N32 -to ddrb_ba[0]    #ddr2_devb_ba[0]
# set_location_assignment PIN_N33 -to ddrb_ba[1]    #ddr2_devb_ba[1]
# set_location_assignment PIN_R30 -to ddrb_ba[2]    #ddr2_devb_ba[2]
# set_location_assignment PIN_U25 -to ddrb_casb     #ddr2_devb_casn
# set_location_assignment PIN_R32 -to ddrab_clkb[1] #ddr2_devb_ck_n
# set_location_assignment PIN_P31 -to ddrab_clk[1]  #ddr2_devb_ck_p
# set_location_assignment PIN_N34 -to ddrb_cke      #ddr2_devb_cke
  set_location_assignment PIN_J32 -to ddrb_csb      #ddr2_devb_csn
# set_location_assignment PIN_M31 -to ddrab_dm[1]   #ddr2_devb_dm
# set_location_assignment PIN_P29 -to ddrab_dq[8]   #ddr2_devb_dq[0]
# set_location_assignment PIN_P32 -to ddrab_dq[9]   #ddr2_devb_dq[1]
# set_location_assignment PIN_N30 -to ddrab_dq[10]  #ddr2_devb_dq[2]
# set_location_assignment PIN_N31 -to ddrab_dq[11]  #ddr2_devb_dq[3]
# set_location_assignment PIN_R26 -to ddrab_dq[12]  #ddr2_devb_dq[4]
# set_location_assignment PIN_P28 -to ddrab_dq[13]  #ddr2_devb_dq[5]
# set_location_assignment PIN_R25 -to ddrab_dq[14]  #ddr2_devb_dq[6]
# set_location_assignment PIN_N29 -to ddrab_dq[15]  #ddr2_devb_dq[7]
# set_location_assignment PIN_L34 -to ddrab_dqsn[1] #ddr2_devb_dqs_n
# set_location_assignment PIN_M33 -to ddrab_dqsp[1] #ddr2_devb_dqs_p
# set_location_assignment PIN_D34 -to ddrab_odt[1]  #ddr2_devb_odt
# set_location_assignment PIN_D33 -to ddrb_rasb     #ddr2_devb_rasn
# set_location_assignment PIN_T26 -to ddrb_web      #ddr2_devb_wen
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad        #dr2_devb_a
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[0]     #dr2_devb_a[0]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[1]     #dr2_devb_a[1]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[2]     #dr2_devb_a[2]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[3]     #dr2_devb_a[3]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[4]     #dr2_devb_a[4]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[5]     #dr2_devb_a[5]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[6]     #dr2_devb_a[6]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[7]     #dr2_devb_a[7]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[8]     #dr2_devb_a[8]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[9]     #dr2_devb_a[9]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[10]    #dr2_devb_a[10]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[11]    #dr2_devb_a[11]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[12]    #dr2_devb_a[12]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[13]    #dr2_devb_a[13]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[14]    #dr2_devb_a[14]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ba        #ddr2_devb_ba
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ba[0]     #ddr2_devb_ba[0]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ba[1]     #ddr2_devb_ba[1]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ba[2]     #ddr2_devb_ba[2]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_casb      #ddr2_devb_casn
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddrab_clkb[1]
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddrab_clk[1]
# set_instance_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER ON -to ddrab_clk[1]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_cke       # ddr2_devb_cke
  set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_csb       # ddr2_devb_csn
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dm[1]    # ddr2_devb_dm
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq       # ddr2_devb_dq
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[8]    # ddr2_devb_dq[0]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[9]    # ddr2_devb_dq[1]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[10]   # ddr2_devb_dq[2]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[11]   # ddr2_devb_dq[3]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[12]   # ddr2_devb_dq[4]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[13]   # ddr2_devb_dq[5]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[14]   # ddr2_devb_dq[6]
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[15]   # ddr2_devb_dq[7]
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddrab_dqsn[1]  # ddr2_devb_dqs_n

# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddrab_dqsp[1]  # ddr2_devb_dqs_p

# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrab_odt[1]   # ddr2_devb_odt
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_rasb      # ddr2_devb_rasn
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_web       # ddr2_devb_wen

# set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to ddrab_dq
# set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to ddrab_dqsp
# set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to ddrab_dqsn

set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddrab_dqsp[0] -to ddrab_dq[0..7]
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddrab_dqsp[1] -to ddrab_dq[8..15]
set_instance_assignment -name D3_DELAY 2 -to ddrab_dq

#set_instance_assignment -name OUTPUT_ENABLE_GROUP 1 -to ddrab_dq[0..7]
#set_instance_assignment -name DQ_GROUP 9 -from ddrab_dqsp[0] -to ddrab_dm[0]
#set_instance_assignment -name DQ_GROUP 9 -from ddrab_dqsp[0] -to ddrab_dq[0..7]
#set_instance_assignment -name OUTPUT_ENABLE_GROUP 2 -to ddrab_dq[8..15]
#set_instance_assignment -name DQ_GROUP 9 -from ddrab_dqsp[1] -to ddrab_dm[1]
#set_instance_assignment -name DQ_GROUP 9 -from ddrab_dqsp[1] -to ddrab_dq[8..15]
#set_instance_assignment -name D3_DELAY 0 -to ddrab_dq

# DDR2 DIMM
set_location_assignment PIN_AM19 -to ddr_ad[0]   #ddr2_dimm_a[0]
set_location_assignment PIN_AM18 -to ddr_ad[1]   #ddr2_dimm_a[1]
set_location_assignment PIN_AF16 -to ddr_ad[2]   #ddr2_dimm_a[2]
set_location_assignment PIN_AN16 -to ddr_ad[3]   #ddr2_dimm_a[3]
set_location_assignment PIN_AM17 -to ddr_ad[4]   #ddr2_dimm_a[4]
set_location_assignment PIN_AL19 -to ddr_ad[5]   #ddr2_dimm_a[5]
set_location_assignment PIN_AK18 -to ddr_ad[6]   #ddr2_dimm_a[6]
set_location_assignment PIN_AD16 -to ddr_ad[7]   #ddr2_dimm_a[7]
set_location_assignment PIN_AE16 -to ddr_ad[8]   #ddr2_dimm_a[8]
set_location_assignment PIN_AM16 -to ddr_ad[9]   #ddr2_dimm_a[9]
set_location_assignment PIN_AH19 -to ddr_ad[10]  #ddr2_dimm_a[10]
set_location_assignment PIN_AL16 -to ddr_ad[11]  #ddr2_dimm_a[11]
set_location_assignment PIN_AF20 -to ddr_ad[12]  #ddr2_dimm_a[12]
set_location_assignment PIN_AE23 -to ddr_ad[13]  #ddr2_dimm_a[13]
set_location_assignment PIN_AG19 -to ddr_ad[14]  #ddr2_dimm_a[14]
set_location_assignment PIN_AP12 -to ddr_ad[15]  #ddr2_dimm_a[15]
set_location_assignment PIN_AN18 -to ddr_ba[0]   #ddr2_dimm_ba[0]
set_location_assignment PIN_AL17 -to ddr_ba[1]   #ddr2_dimm_ba[1]
set_location_assignment PIN_AD15 -to ddr_ba[2]   #ddr2_dimm_ba[2]
set_location_assignment PIN_AD18 -to ddr_casb    #ddr2_dimm_casn
set_location_assignment PIN_AJ16 -to ddr_cke[0]  #ddr2_dimm_cke[0]
set_location_assignment PIN_AP7  -to ddr_cke[1]  #ddr2_dimm_cke[1]
set_location_assignment PIN_AM14 -to ddr_clkb[0] #ddr2_dimm_clk_n[0]
set_location_assignment PIN_AL13 -to ddr_clkb[1] #ddr2_dimm_clk_n[1]
set_location_assignment PIN_AM15 -to ddr_clkb[2] #ddr2_dimm_clk_n[2]
set_location_assignment PIN_AL14 -to ddr_clk[0]  #ddr2_dimm_clk_p[0]
set_location_assignment PIN_AK13 -to ddr_clk[1]  #ddr2_dimm_clk_p[1]
set_location_assignment PIN_AL15 -to ddr_clk[2]  #ddr2_dimm_clk_p[2]
set_location_assignment PIN_AG21 -to ddr_csb[0]  #ddr2_dimm_csn[0]
set_location_assignment PIN_AC22 -to ddr_csb[1]  #ddr2_dimm_csn[1]
set_location_assignment PIN_AL12 -to ddr_dm[0]   #ddr2_dimm_dm[0]
set_location_assignment PIN_AP10 -to ddr_dm[1]   #ddr2_dimm_dm[1]
set_location_assignment PIN_AJ15 -to ddr_dm[2]   #ddr2_dimm_dm[2]
set_location_assignment PIN_AL22 -to ddr_dm[3]   #ddr2_dimm_dm[3]
set_location_assignment PIN_AE22 -to ddr_dm[4]   #ddr2_dimm_dm[4]
set_location_assignment PIN_AK27 -to ddr_dm[5]   #ddr2_dimm_dm[5]
set_location_assignment PIN_AJ28 -to ddr_dm[6]   #ddr2_dimm_dm[6]
set_location_assignment PIN_AP32 -to ddr_dm[7]   #ddr2_dimm_dm[7]
set_location_assignment PIN_AH23 -to ddr_dm[8]   #ddr2_dimm_dm[8]
set_location_assignment PIN_AP6  -to ddr_dq[0]   #ddr2_dimm_dq[0]
set_location_assignment PIN_AN7  -to ddr_dq[1]   #ddr2_dimm_dq[1]
set_location_assignment PIN_AK10 -to ddr_dq[2]   #ddr2_dimm_dq[2]
set_location_assignment PIN_AK12 -to ddr_dq[3]   #ddr2_dimm_dq[3]
set_location_assignment PIN_AM7  -to ddr_dq[4]   #ddr2_dimm_dq[4]
set_location_assignment PIN_AM8  -to ddr_dq[5]   #ddr2_dimm_dq[5]
set_location_assignment PIN_AM11 -to ddr_dq[6]   #ddr2_dimm_dq[6]
set_location_assignment PIN_AP8  -to ddr_dq[7]   #ddr2_dimm_dq[7]
set_location_assignment PIN_AE13 -to ddr_dq[8]   #ddr2_dimm_dq[8]
set_location_assignment PIN_AF13 -to ddr_dq[9]   #ddr2_dimm_dq[9]
set_location_assignment PIN_AP11 -to ddr_dq[10]  #ddr2_dimm_dq[10]
set_location_assignment PIN_AF15 -to ddr_dq[11]  #ddr2_dimm_dq[11]
set_location_assignment PIN_AE14 -to ddr_dq[12]  #ddr2_dimm_dq[12]
set_location_assignment PIN_AE15 -to ddr_dq[13]  #ddr2_dimm_dq[13]
set_location_assignment PIN_AP9  -to ddr_dq[14]  #ddr2_dimm_dq[14]
set_location_assignment PIN_AN10 -to ddr_dq[15]  #ddr2_dimm_dq[15]
set_location_assignment PIN_AN12 -to ddr_dq[16]  #ddr2_dimm_dq[16]
set_location_assignment PIN_AM12 -to ddr_dq[17]  #ddr2_dimm_dq[17]
set_location_assignment PIN_AG15 -to ddr_dq[18]  #ddr2_dimm_dq[18]
set_location_assignment PIN_AH15 -to ddr_dq[19]  #ddr2_dimm_dq[19]
set_location_assignment PIN_AN13 -to ddr_dq[20]  #ddr2_dimm_dq[20]
set_location_assignment PIN_AP13 -to ddr_dq[21]  #ddr2_dimm_dq[21]
set_location_assignment PIN_AP14 -to ddr_dq[22]  #ddr2_dimm_dq[22]
set_location_assignment PIN_AK15 -to ddr_dq[23]  #ddr2_dimm_dq[23]
set_location_assignment PIN_AJ21 -to ddr_dq[24]  #ddr2_dimm_dq[24]
set_location_assignment PIN_AM22 -to ddr_dq[25]  #ddr2_dimm_dq[25]
set_location_assignment PIN_AN21 -to ddr_dq[26]  #ddr2_dimm_dq[26]
set_location_assignment PIN_AP21 -to ddr_dq[27]  #ddr2_dimm_dq[27]
set_location_assignment PIN_AJ20 -to ddr_dq[28]  #ddr2_dimm_dq[28]
set_location_assignment PIN_AK21 -to ddr_dq[29]  #ddr2_dimm_dq[29]
set_location_assignment PIN_AP20 -to ddr_dq[30]  #ddr2_dimm_dq[30]
set_location_assignment PIN_AM21 -to ddr_dq[31]  #ddr2_dimm_dq[31]
set_location_assignment PIN_AE20 -to ddr_dq[32]  #ddr2_dimm_dq[32]
set_location_assignment PIN_AF21 -to ddr_dq[33]  #ddr2_dimm_dq[33]
set_location_assignment PIN_AP24 -to ddr_dq[34]  #ddr2_dimm_dq[34]
set_location_assignment PIN_AP26 -to ddr_dq[35]  #ddr2_dimm_dq[35]
set_location_assignment PIN_AD21 -to ddr_dq[36]  #ddr2_dimm_dq[36]
set_location_assignment PIN_AE21 -to ddr_dq[37]  #ddr2_dimm_dq[37]
set_location_assignment PIN_AP23 -to ddr_dq[38]  #ddr2_dimm_dq[38]
set_location_assignment PIN_AN24 -to ddr_dq[39]  #ddr2_dimm_dq[39]
set_location_assignment PIN_AP27 -to ddr_dq[40]  #ddr2_dimm_dq[40]
set_location_assignment PIN_AN27 -to ddr_dq[41]  #ddr2_dimm_dq[41]
set_location_assignment PIN_AL28 -to ddr_dq[42]  #ddr2_dimm_dq[42]
set_location_assignment PIN_AK25 -to ddr_dq[43]  #ddr2_dimm_dq[43]
set_location_assignment PIN_AM26 -to ddr_dq[44]  #ddr2_dimm_dq[44]
set_location_assignment PIN_AL26 -to ddr_dq[45]  #ddr2_dimm_dq[45]
set_location_assignment PIN_AP29 -to ddr_dq[46]  #ddr2_dimm_dq[46]
set_location_assignment PIN_AM28 -to ddr_dq[47]  #ddr2_dimm_dq[47]
set_location_assignment PIN_AN30 -to ddr_dq[48]  #ddr2_dimm_dq[48]
set_location_assignment PIN_AM30 -to ddr_dq[49]  #ddr2_dimm_dq[49]
set_location_assignment PIN_AJ26 -to ddr_dq[50]  #ddr2_dimm_dq[50]
set_location_assignment PIN_AH27 -to ddr_dq[51]  #ddr2_dimm_dq[51]
set_location_assignment PIN_AM29 -to ddr_dq[52]  #ddr2_dimm_dq[52]
set_location_assignment PIN_AL29 -to ddr_dq[53]  #ddr2_dimm_dq[53]
set_location_assignment PIN_AJ29 -to ddr_dq[54]  #ddr2_dimm_dq[54]
set_location_assignment PIN_AJ27 -to ddr_dq[55]  #ddr2_dimm_dq[55]
set_location_assignment PIN_AF24 -to ddr_dq[56]  #ddr2_dimm_dq[56]
set_location_assignment PIN_AG24 -to ddr_dq[57]  #ddr2_dimm_dq[57]
set_location_assignment PIN_AF23 -to ddr_dq[58]  #ddr2_dimm_dq[58]
set_location_assignment PIN_AN31 -to ddr_dq[59]  #ddr2_dimm_dq[59]
set_location_assignment PIN_AH25 -to ddr_dq[60]  #ddr2_dimm_dq[60]
set_location_assignment PIN_AH26 -to ddr_dq[61]  #ddr2_dimm_dq[61]
set_location_assignment PIN_AP31 -to ddr_dq[62]  #ddr2_dimm_dq[62]
set_location_assignment PIN_AP30 -to ddr_dq[63]  #ddr2_dimm_dq[63]
set_location_assignment PIN_AH22 -to ddr_dq[64]  #ddr2_dimm_dq[64]
set_location_assignment PIN_AM23 -to ddr_dq[65]  #ddr2_dimm_dq[65]
set_location_assignment PIN_AJ23 -to ddr_dq[66]  #ddr2_dimm_dq[66]
set_location_assignment PIN_AJ24 -to ddr_dq[67]  #ddr2_dimm_dq[67]
set_location_assignment PIN_AK22 -to ddr_dq[68]  #ddr2_dimm_dq[68]
set_location_assignment PIN_AL23 -to ddr_dq[69]  #ddr2_dimm_dq[69]
set_location_assignment PIN_AL25 -to ddr_dq[70]  #ddr2_dimm_dq[70]
set_location_assignment PIN_AK24 -to ddr_dq[71]  #ddr2_dimm_dq[71]
set_location_assignment PIN_AL11 -to ddr_dqsn[0] #ddr2_dimm_dqs_n[0]
set_location_assignment PIN_AN9  -to ddr_dqsn[1] #ddr2_dimm_dqs_n[1]
set_location_assignment PIN_AJ14 -to ddr_dqsn[2] #ddr2_dimm_dqs_n[2]
set_location_assignment PIN_AP22 -to ddr_dqsn[3] #ddr2_dimm_dqs_n[3]
set_location_assignment PIN_AP25 -to ddr_dqsn[4] #ddr2_dimm_dqs_n[4]
set_location_assignment PIN_AP28 -to ddr_dqsn[5] #ddr2_dimm_dqs_n[5]
set_location_assignment PIN_AM32 -to ddr_dqsn[6] #ddr2_dimm_dqs_n[6]
set_location_assignment PIN_AP33 -to ddr_dqsn[7] #ddr2_dimm_dqs_n[7]
set_location_assignment PIN_AM24 -to ddr_dqsn[8] #ddr2_dimm_dqs_n[8]
set_location_assignment PIN_AL10 -to ddr_dqsp[0] #ddr2_dimm_dqs_p[0]
set_location_assignment PIN_AM9  -to ddr_dqsp[1] #ddr2_dimm_dqs_p[1]
set_location_assignment PIN_AH14 -to ddr_dqsp[2] #ddr2_dimm_dqs_p[2]
set_location_assignment PIN_AN22 -to ddr_dqsp[3] #ddr2_dimm_dqs_p[3]
set_location_assignment PIN_AN25 -to ddr_dqsp[4] #ddr2_dimm_dqs_p[4]
set_location_assignment PIN_AN28 -to ddr_dqsp[5] #ddr2_dimm_dqs_p[5]
set_location_assignment PIN_AM31 -to ddr_dqsp[6] #ddr2_dimm_dqs_p[6]
set_location_assignment PIN_AN33 -to ddr_dqsp[7] #ddr2_dimm_dqs_p[7]
set_location_assignment PIN_AL24 -to ddr_dqsp[8] #ddr2_dimm_dqs_p[8]
set_location_assignment PIN_AE19 -to ddr_odt[0]  #ddr2_dimm_odt[0]
set_location_assignment PIN_AD19 -to ddr_odt[1]  #ddr2_dimm_odt[1]
set_location_assignment PIN_AN19 -to ddr_rasb    #ddr2_dimm_rasn
#set_location_assignment PIN_AE18 -to ddr2_dimm_resetn
#set_location_assignment PIN_AN15 -to ddr2_dimm_scl
#set_location_assignment PIN_AK19 -to ddr2_dimm_sda
set_location_assignment PIN_AJ19 -to ddr_web     #ddr2_dimm_wen
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad #ddr2_dimm_a
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[0]  #ddr2_dimm_a[0]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[1]  #ddr2_dimm_a[1]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[2]  #ddr2_dimm_a[2]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[3]  #ddr2_dimm_a[3]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[4]  #ddr2_dimm_a[4]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[5]  #ddr2_dimm_a[5]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[6]  #ddr2_dimm_a[6]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[7]  #ddr2_dimm_a[7]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[8]  #ddr2_dimm_a[8]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[9]  #ddr2_dimm_a[9]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[10] #ddr2_dimm_a[10]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[11] #ddr2_dimm_a[11]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[12] #ddr2_dimm_a[12]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[13] #ddr2_dimm_a[13]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[14] #ddr2_dimm_a[14]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[15] #ddr2_dimm_a[15]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ba #ddr2_dimm_ba
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ba[0] #ddr2_dimm_ba[0]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ba[1] #ddr2_dimm_ba[1]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ba[2] #ddr2_dimm_ba[2]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_casb #ddr2_dimm_casn
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_cke #ddr2_dimm_cke
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_cke[0] #ddr2_dimm_cke[0]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_cke[1] #ddr2_dimm_cke[1]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddr_clkb[0] #ddr2_dimm_clk_n[0]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddr_clkb[1] #ddr2_dimm_clk_n[1]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddr_clkb[2] #ddr2_dimm_clk_n[2]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddr_clk[0]  #ddr2_dimm_clk_p[0]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddr_clk[1]  #ddr2_dimm_clk_p[1]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddr_clk[2]  #ddr2_dimm_clk_p[2]
#set_instance_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER ON -to ddr_clk[0]
#set_instance_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER ON -to ddr_clk[1]
#set_instance_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER ON -to ddr_clk[2]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_csb #ddr2_dimm_csn
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_csb[0] #ddr2_dimm_csn[0]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_csb[1] #ddr2_dimm_csn[1]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm #ddr2_dimm_dm
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[0] #ddr2_dimm_dm[0]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[1] #ddr2_dimm_dm[1]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[2] #ddr2_dimm_dm[2]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[3] #ddr2_dimm_dm[3]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[4] #ddr2_dimm_dm[4]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[5] #ddr2_dimm_dm[5]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[6] #ddr2_dimm_dm[6]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[7] #ddr2_dimm_dm[7]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[8] #ddr2_dimm_dm[8]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq #ddr2_dimm_dq
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[0]  #ddr2_dimm_dq[0]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[1]  #ddr2_dimm_dq[1]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[2]  #ddr2_dimm_dq[2]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[3]  #ddr2_dimm_dq[3]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[4]  #ddr2_dimm_dq[4]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[5]  #ddr2_dimm_dq[5]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[6]  #ddr2_dimm_dq[6]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[7]  #ddr2_dimm_dq[7]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[8]  #ddr2_dimm_dq[8]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[9]  #ddr2_dimm_dq[9]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[10] #ddr2_dimm_dq[10]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[11] #ddr2_dimm_dq[11]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[12] #ddr2_dimm_dq[12]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[13] #ddr2_dimm_dq[13]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[14] #ddr2_dimm_dq[14]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[15] #ddr2_dimm_dq[15]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[16] #ddr2_dimm_dq[16]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[17] #ddr2_dimm_dq[17]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[18] #ddr2_dimm_dq[18]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[19] #ddr2_dimm_dq[19]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[20] #ddr2_dimm_dq[20]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[21] #ddr2_dimm_dq[21]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[22] #ddr2_dimm_dq[22]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[23] #ddr2_dimm_dq[23]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[24] #ddr2_dimm_dq[24]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[25] #ddr2_dimm_dq[25]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[26] #ddr2_dimm_dq[26]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[27] #ddr2_dimm_dq[27]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[28] #ddr2_dimm_dq[28]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[29] #ddr2_dimm_dq[29]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[30] #ddr2_dimm_dq[30]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[31] #ddr2_dimm_dq[31]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[32] #ddr2_dimm_dq[32]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[33] #ddr2_dimm_dq[33]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[34] #ddr2_dimm_dq[34]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[35] #ddr2_dimm_dq[35]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[36] #ddr2_dimm_dq[36]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[37] #ddr2_dimm_dq[37]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[38] #ddr2_dimm_dq[38]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[39] #ddr2_dimm_dq[39]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[40] #ddr2_dimm_dq[40]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[41] #ddr2_dimm_dq[41]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[42] #ddr2_dimm_dq[42]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[43] #ddr2_dimm_dq[43]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[44] #ddr2_dimm_dq[44]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[45] #ddr2_dimm_dq[45]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[46] #ddr2_dimm_dq[46]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[47] #ddr2_dimm_dq[47]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[48] #ddr2_dimm_dq[48]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[49] #ddr2_dimm_dq[49]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[50] #ddr2_dimm_dq[50]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[51] #ddr2_dimm_dq[51]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[52] #ddr2_dimm_dq[52]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[53] #ddr2_dimm_dq[53]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[54] #ddr2_dimm_dq[54]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[55] #ddr2_dimm_dq[55]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[56] #ddr2_dimm_dq[56]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[57] #ddr2_dimm_dq[57]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[58] #ddr2_dimm_dq[58]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[59] #ddr2_dimm_dq[59]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[60] #ddr2_dimm_dq[60]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[61] #ddr2_dimm_dq[61]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[62] #ddr2_dimm_dq[62]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[63] #ddr2_dimm_dq[63]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[64] #ddr2_dimm_dq[64]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[65] #ddr2_dimm_dq[65]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[66] #ddr2_dimm_dq[66]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[67] #ddr2_dimm_dq[67]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[68] #ddr2_dimm_dq[68]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[69] #ddr2_dimm_dq[69]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[70] #ddr2_dimm_dq[70]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[71] #ddr2_dimm_dq[71]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dqsn #ddr2_dimm_dqs_n

set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[0] #ddr2_dimm_dqs_n[0]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[1] #ddr2_dimm_dqs_n[1]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[2] #ddr2_dimm_dqs_n[2]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[3] #ddr2_dimm_dqs_n[3]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[4] #ddr2_dimm_dqs_n[4]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[5] #ddr2_dimm_dqs_n[5]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[6] #ddr2_dimm_dqs_n[6]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[7] #ddr2_dimm_dqs_n[7]
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[8] #ddr2_dimm_dqs_n[8]

set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dqsp    #ddr2_dimm_dqs_p

set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[0] #ddr2_dimm_dqs_p[0]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[1] #ddr2_dimm_dqs_p[1]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[2] #ddr2_dimm_dqs_p[2]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[3] #ddr2_dimm_dqs_p[3]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[4] #ddr2_dimm_dqs_p[4]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[5] #ddr2_dimm_dqs_p[5]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[6] #ddr2_dimm_dqs_p[6]
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[7] #ddr2_dimm_dqs_p[7]
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[8] #ddr2_dimm_dqs_p[8]

set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_odt #ddr2_dimm_odt
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_odt[0] #ddr2_dimm_odt[0]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_odt[1] #ddr2_dimm_odt[1]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_rasb #ddr2_dimm_rasn
#set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr2_dimm_resetn
#set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr2_dimm_scl
#set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr2_dimm_sda
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_web #ddr2_dimm_wen

#set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to ddr_dq
#set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to ddr_dqsp
#set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to ddr_dqsn

#set_instance_assignment -name CURRENT_STRENGTH_NEW "8MA" -to ddr_dq
#set_instance_assignment -name CURRENT_STRENGTH_NEW "8MA" -to ddr_dqsp
#set_instance_assignment -name CURRENT_STRENGTH_NEW "8MA" -to ddr_dqsn

set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[0] -to ddr_dq[0..7]
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[1] -to ddr_dq[8..15]
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[2] -to ddr_dq[16..23]
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[3] -to ddr_dq[24..31]
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[4] -to ddr_dq[32..39]
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[5] -to ddr_dq[40..47]
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[6] -to ddr_dq[48..55]
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[7] -to ddr_dq[56..63]

## D3_DELAY = 3 for 125 MHz, D3_DELAY = 0 for 150-200 MHz
set_instance_assignment -name D3_DELAY 0 -to ddr_dq
#set_instance_assignment -name D1_DELAY 15 -to ddr_dq ## MAX DELAY
#set_instance_assignment -name D2_DELAY 7 -to ddr_dq  ## MAX DELAY
#set_instance_assignment -name D3_DELAY 7 -to ddr_dq  ## MAX DELAY
                              
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[0] -to ddr_dqsp[0]
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[1] -to ddr_dqsp[1]
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[2] -to ddr_dqsp[2]
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[3] -to ddr_dqsp[3]
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[4] -to ddr_dqsp[4]
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[5] -to ddr_dqsp[5]
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[6] -to ddr_dqsp[6]
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[7] -to ddr_dqsp[7]



# set_location_assignment PIN_E31 -to rup1a
# set_location_assignment PIN_AK31 -to rup2a
# set_location_assignment PIN_AG9 -to rup4a
# set_location_assignment PIN_AK4 -to rup5a
# set_location_assignment PIN_E4 -to rup6a
# set_location_assignment PIN_H26 -to rup8a
# set_location_assignment PIN_E32 -to rdn1a
# set_location_assignment PIN_AK32 -to rdn2a
# set_location_assignment PIN_AH9 -to rdn4a
# set_location_assignment PIN_AK3 -to rdn5a
# set_location_assignment PIN_E3 -to rdn6a
# set_location_assignment PIN_G26 -to rdn8a
# set_instance_assignment -name IO_STANDARD "1.8 V" -to rdn1a
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rdn2a
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rdn4a
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rdn5a
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rdn6a
# set_instance_assignment -name IO_STANDARD "1.8 V" -to rdn8a
# set_instance_assignment -name IO_STANDARD "1.8 V" -to rup1a
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rup2a
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rup4a
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rup5a
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rup6a
# set_instance_assignment -name IO_STANDARD "1.8 V" -to rup8a

set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
#
#

#######################################################################################################
#
#######################################################################################################

#set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition -to | -section_id Top
#set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
#set_global_assignment -name PARTITION_COLOR 2147039 -section_id Top
#set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
#set_global_assignment -name LL_ENABLED ON -section_id "Root Region"
#set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
#set_global_assignment -name USER_LIBRARIES "golden_top_perl/;golden_top/golden_top_s3f1152_restored_dpa/;golden_top_perl/;golden_top/golden_top_s3f1152_restored_dpa/;c:\\cvs_sandbox\\boards\\stratix3\\s3_f1152_host_board\\pld\\golden_top\\golden_top_s3f1152_restored_dpa;c:\\cvs_sandbox\\boards\\stratix3\\s3_f1152_host_board\\pld\\golden_top_perl"
#set_global_assignment -name ENABLE_ADVANCED_IO_TIMING ON
#set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
#set_global_assignment -name SMART_RECOMPILE ON
# set_location_assignment PIN_F30 -to max_jtag_tck
# set_location_assignment PIN_H28 -to max_jtag_tms
# set_location_assignment PIN_G28 -to fpga_jtag_tdi
# set_location_assignment PIN_J28 -to n245930246
# set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to hsma_rx_p
# set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to hsmb_clk_in_p
# set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to hsma_clk_in_p
# set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to hsmb_rx_p
# set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to enet_rx_p
# set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to enet_s_clkp
#set_location_assignment PIN_T33 -to clkin_50
#set_location_assignment PIN_B16 -to clkin_125
# set_location_assignment PIN_A28 -to enet_led_link1000
# set_location_assignment PIN_AA27 -to oled_sern
# set_location_assignment PIN_AE24 -to deskew_0_ae24
# set_location_assignment PIN_AD22 -to deskew_1_ad22
# set_location_assignment PIN_F30 -to fpga_jtag_tck
# set_location_assignment PIN_H28 -to fpga_jtag_tms
# set_location_assignment PIN_AP5 -to cpu_resetn
# set_instance_assignment -name IO_STANDARD "1.8 V" -to deskew_0_ae24
# set_instance_assignment -name IO_STANDARD "1.8 V" -to deskew_1_ad22
# set_instance_assignment -name IO_STANDARD "1.8 V" -to enet_led_link1000
#set_global_assignment -name VERILOG_FILE s3_host_top.v
#set_global_assignment -name TCL_SCRIPT_FILE s3_host_top_quartus.tcl


# ???
# set_location_assignment PIN_AJ11 -to speaker_out
# set_location_assignment PIN_T28 -to fpga_data[0]
# set_location_assignment PIN_T27 -to fpga_data[1]
# set_location_assignment PIN_R34 -to fpga_data[2]
# set_location_assignment PIN_R33 -to fpga_data[3]
# set_location_assignment PIN_T25 -to fpga_data[4]
# set_location_assignment PIN_T24 -to fpga_data[5]
# set_location_assignment PIN_T32 -to fpga_data[6]
# set_location_assignment PIN_R31 -to fpga_data[7]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to speaker_out
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to fpga_data
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[0]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[1]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[2]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[3]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[4]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[5]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[6]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[7]

# set_location_assignment PIN_AE24 -to deskew_[0]
# set_location_assignment PIN_AD22 -to deskew_[1]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to deskew_
# set_instance_assignment -name IO_STANDARD "1.8 V" -to deskew_[0]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to deskew_[1]


# set_location_assignment PIN_AH29 -to fpga_conf_done
# set_location_assignment PIN_AL3 -to fpga_dclk
# set_location_assignment PIN_G29 -to fpga_jtag_tdo
# set_location_assignment PIN_AE26 -to fpga_nce
# set_location_assignment PIN_AE25 -to fpga_nconfig
# set_location_assignment PIN_AH28 -to fpga_nstatus
# set_location_assignment PIN_F30 -to jtag_tck
# set_location_assignment PIN_H28 -to jtag_tms
# set_location_assignment PIN_J26 -to vref_dev
# set_location_assignment PIN_AG13 -to vref_dimm
# set_location_assignment PIN_H13 -to vref_qdrii
# set_location_assignment PIN_K10 -to msel[0]
# set_location_assignment PIN_J9 -to msel[1]
# set_location_assignment PIN_K9 -to msel[2]
# set_location_assignment PIN_D4 -to tempdiode_n
# set_location_assignment PIN_E5 -to tempdiode_p

# # User Dip Switch
# set_location_assignment PIN_B19 -to user_dipsw[0]
# set_location_assignment PIN_A19 -to user_dipsw[1]
# set_location_assignment PIN_C18 -to user_dipsw[2]
# set_location_assignment PIN_A20 -to user_dipsw[3]
# set_location_assignment PIN_K19 -to user_dipsw[4]
# set_location_assignment PIN_J19 -to user_dipsw[5]
# set_location_assignment PIN_L19 -to user_dipsw[6]
# set_location_assignment PIN_L20 -to user_dipsw[7]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[0]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[1]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[2]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[3]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[4]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[5]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[6]
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[7]

# # USB ???
# set_location_assignment PIN_Y28 -to usb_cmd_data
# set_location_assignment PIN_AH12 -to usb_empty
# set_location_assignment PIN_AE33 -to usb_fd[0]
# set_location_assignment PIN_AE31 -to usb_fd[1]
# set_location_assignment PIN_AC28 -to usb_fd[2]
# set_location_assignment PIN_AA24 -to usb_fd[3]
# set_location_assignment PIN_AF34 -to usb_fd[4]
# set_location_assignment PIN_AG33 -to usb_fd[5]
# set_location_assignment PIN_AA25 -to usb_fd[6]
# set_location_assignment PIN_AE32 -to usb_fd[7]
# set_location_assignment PIN_AE11 -to usb_full
# set_location_assignment PIN_U1 -to usb_ifclk
# set_location_assignment PIN_N5 -to usb_ren
# set_location_assignment PIN_W11 -to usb_wen
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_cmd_data
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_empty
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[0]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[3]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[4]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[5]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[6]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[7]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_full
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_ifclk
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_ren
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_wen

# # 7-SEG
# set_location_assignment PIN_AE10 -to seven_seg_a
# set_location_assignment PIN_AL5 -to seven_seg_b
# set_location_assignment PIN_AC12 -to seven_seg_c
# set_location_assignment PIN_AM5 -to seven_seg_d
# set_location_assignment PIN_AK6 -to seven_seg_dp
# set_location_assignment PIN_AF11 -to seven_seg_e
# set_location_assignment PIN_AM6 -to seven_seg_f
# set_location_assignment PIN_AP3 -to seven_seg_g
# set_location_assignment PIN_AH11 -to seven_seg_minus
# set_location_assignment PIN_AM4 -to seven_seg_sel[1]
# set_location_assignment PIN_AE12 -to seven_seg_sel[2]
# set_location_assignment PIN_AL4 -to seven_seg_sel[3]
# set_location_assignment PIN_AH8 -to seven_seg_sel[4]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_a
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_b
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_c
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_d
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_dp
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_e
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_f
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_g
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_minus
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_sel
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_sel[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_sel[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_sel[3]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_sel[4]

# # Character LCD
# set_location_assignment PIN_AD12 -to lcd_csn
# set_location_assignment PIN_AP2 -to lcd_d_cn
# set_location_assignment PIN_AJ8 -to lcd_data[0]
# set_location_assignment PIN_AJ6 -to lcd_data[1]
# set_location_assignment PIN_AD13 -to lcd_data[2]
# set_location_assignment PIN_AJ7 -to lcd_data[3]
# set_location_assignment PIN_AF10 -to lcd_data[4]
# set_location_assignment PIN_AN6 -to lcd_data[5]
# set_location_assignment PIN_AN3 -to lcd_data[6]
# set_location_assignment PIN_AK7 -to lcd_data[7]
# set_location_assignment PIN_AL8 -to lcd_wen
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_csn
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_d_cn
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[0]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[3]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[4]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[5]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[6]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[7]

# # Graphic LCD
# set_location_assignment PIN_Y26 -to oled_bs1
# set_location_assignment PIN_AE30 -to oled_csn
# set_location_assignment PIN_AD26 -to oled_d_cn
# set_location_assignment PIN_AB31 -to oled_data[0]
# set_location_assignment PIN_AG32 -to oled_data[1]
# set_location_assignment PIN_AB27 -to oled_data[2]
# set_location_assignment PIN_AC32 -to oled_data[3]
# set_location_assignment PIN_AL32 -to oled_data[4]
# set_location_assignment PIN_AB30 -to oled_data[5]
# set_location_assignment PIN_AC26 -to oled_data[6]
# set_location_assignment PIN_AA30 -to oled_data[7]
# set_location_assignment PIN_AG31 -to oled_e_rdn
# set_location_assignment PIN_AP4 -to oled_rstn
# set_location_assignment PIN_AA31 -to oled_wen
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_bs1
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_csn
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_d_cn
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[0]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[3]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[4]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[5]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[6]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[7]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_e_rdn
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_rstn
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_wen

# # HSMC B
# set_location_assignment PIN_V4 -to hsmb_clk_in0
# set_location_assignment PIN_N3 -to hsmb_clk_in_n[1]
# set_location_assignment PIN_U3 -to hsmb_clk_in_n[2]
# set_location_assignment PIN_N4 -to hsmb_clk_in_p[1]
# set_location_assignment PIN_U4 -to hsmb_clk_in_p[2]
# set_location_assignment PIN_AC34 -to hsmb_clk_out0
# set_location_assignment PIN_P5 -to hsmb_clk_out_n[1]
# set_location_assignment PIN_T11 -to hsmb_clk_out_n[2]
# set_location_assignment PIN_P6 -to hsmb_clk_out_p[1]
# set_location_assignment PIN_R12 -to hsmb_clk_out_p[2]
# set_location_assignment PIN_AB24 -to hsmb_d[0]
# set_location_assignment PIN_AB25 -to hsmb_d[1]
# set_location_assignment PIN_AF32 -to hsmb_d[2]
# set_location_assignment PIN_AF31 -to hsmb_d[3]
# set_location_assignment PIN_G28 -to hsmb_jtag_tdo
# set_location_assignment PIN_AJ12 -to hsmb_rx_led
# set_location_assignment PIN_R3 -to hsmb_rx_n[0]
# set_location_assignment PIN_P3 -to hsmb_rx_n[1]
# set_location_assignment PIN_R1 -to hsmb_rx_n[2]
# set_location_assignment PIN_P1 -to hsmb_rx_n[3]
# set_location_assignment PIN_N1 -to hsmb_rx_n[4]
# set_location_assignment PIN_L1 -to hsmb_rx_n[5]
# set_location_assignment PIN_K3 -to hsmb_rx_n[6]
# set_location_assignment PIN_J3 -to hsmb_rx_n[7]
# set_location_assignment PIN_J1 -to hsmb_rx_n[8]
# set_location_assignment PIN_H1 -to hsmb_rx_n[9]
# set_location_assignment PIN_G1 -to hsmb_rx_n[10]
# set_location_assignment PIN_H3 -to hsmb_rx_n[11]
# set_location_assignment PIN_E1 -to hsmb_rx_n[12]
# set_location_assignment PIN_D1 -to hsmb_rx_n[13]
# set_location_assignment PIN_D2 -to hsmb_rx_n[14]
# set_location_assignment PIN_G4 -to hsmb_rx_n[15]
# set_location_assignment PIN_F3 -to hsmb_rx_n[16]
# set_location_assignment PIN_R4 -to hsmb_rx_p[0]
# set_location_assignment PIN_P4 -to hsmb_rx_p[1]
# set_location_assignment PIN_P2 -to hsmb_rx_p[2]
# set_location_assignment PIN_N2 -to hsmb_rx_p[3]
# set_location_assignment PIN_M1 -to hsmb_rx_p[4]
# set_location_assignment PIN_L2 -to hsmb_rx_p[5]
# set_location_assignment PIN_K4 -to hsmb_rx_p[6]
# set_location_assignment PIN_J4 -to hsmb_rx_p[7]
# set_location_assignment PIN_H2 -to hsmb_rx_p[8]
# set_location_assignment PIN_G2 -to hsmb_rx_p[9]
# set_location_assignment PIN_F1 -to hsmb_rx_p[10]
# set_location_assignment PIN_H4 -to hsmb_rx_p[11]
# set_location_assignment PIN_E2 -to hsmb_rx_p[12]
# set_location_assignment PIN_C1 -to hsmb_rx_p[13]
# set_location_assignment PIN_D3 -to hsmb_rx_p[14]
# set_location_assignment PIN_G5 -to hsmb_rx_p[15]
# set_location_assignment PIN_F4 -to hsmb_rx_p[16]
# set_location_assignment PIN_AD31 -to hsmb_scl
# set_location_assignment PIN_U11 -to hsmb_sda
# set_location_assignment PIN_AG34 -to hsmb_tx_led
# set_location_assignment PIN_P10 -to hsmb_tx_n[0]
# set_location_assignment PIN_T8 -to hsmb_tx_n[1]
# set_location_assignment PIN_U6 -to hsmb_tx_n[2]
# set_location_assignment PIN_T4 -to hsmb_tx_n[3]
# set_location_assignment PIN_R9 -to hsmb_tx_n[4]
# set_location_assignment PIN_R6 -to hsmb_tx_n[5]
# set_location_assignment PIN_N8 -to hsmb_tx_n[6]
# set_location_assignment PIN_M6 -to hsmb_tx_n[7]
# set_location_assignment PIN_L6 -to hsmb_tx_n[8]
# set_location_assignment PIN_L4 -to hsmb_tx_n[9]
# set_location_assignment PIN_K5 -to hsmb_tx_n[10]
# set_location_assignment PIN_J6 -to hsmb_tx_n[11]
# set_location_assignment PIN_H5 -to hsmb_tx_n[12]
# set_location_assignment PIN_K7 -to hsmb_tx_n[13]
# set_location_assignment PIN_L8 -to hsmb_tx_n[14]
# set_location_assignment PIN_M9 -to hsmb_tx_n[15]
# set_location_assignment PIN_N10 -to hsmb_tx_n[16]
# set_location_assignment PIN_P11 -to hsmb_tx_p[0]
# set_location_assignment PIN_T9 -to hsmb_tx_p[1]
# set_location_assignment PIN_T7 -to hsmb_tx_p[2]
# set_location_assignment PIN_T5 -to hsmb_tx_p[3]
# set_location_assignment PIN_R10 -to hsmb_tx_p[4]
# set_location_assignment PIN_R7 -to hsmb_tx_p[5]
# set_location_assignment PIN_N9 -to hsmb_tx_p[6]
# set_location_assignment PIN_M7 -to hsmb_tx_p[7]
# set_location_assignment PIN_L7 -to hsmb_tx_p[8]
# set_location_assignment PIN_L5 -to hsmb_tx_p[9]
# set_location_assignment PIN_K6 -to hsmb_tx_p[10]
# set_location_assignment PIN_J7 -to hsmb_tx_p[11]
# set_location_assignment PIN_H6 -to hsmb_tx_p[12]
# set_location_assignment PIN_K8 -to hsmb_tx_p[13]
# set_location_assignment PIN_L9 -to hsmb_tx_p[14]
# set_location_assignment PIN_M10 -to hsmb_tx_p[15]
# set_location_assignment PIN_N11 -to hsmb_tx_p[16]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_in0
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_in_n
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_in_n[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_in_n[2]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_clk_in_p
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_clk_in_p[1]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_clk_in_p[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_out0
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_out_n
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_out_n[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_out_n[2]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_clk_out_p
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_clk_out_p[1]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_clk_out_p[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_d
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_d[0]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_d[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_d[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_d[3]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_led
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[0]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[3]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[4]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[5]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[6]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[7]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[8]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[9]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[10]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[11]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[12]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[13]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[14]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[15]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[16]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[0]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[1]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[2]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[3]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[4]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[5]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[6]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[7]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[8]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[9]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[10]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[11]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[12]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[13]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[14]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[15]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[16]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_scl
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_sda
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_led
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[0]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[3]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[4]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[5]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[6]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[7]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[8]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[9]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[10]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[11]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[12]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[13]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[14]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[15]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[16]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[0]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[1]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[2]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[3]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[4]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[5]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[6]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[7]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[8]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[9]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[10]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[11]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[12]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[13]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[14]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[15]
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[16]
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to hsmb_sda

# # HSMC A
# set_location_assignment PIN_W10 -to hsma_clk_in0
# set_location_assignment PIN_W3 -to hsma_clk_in_n[1]
# set_location_assignment PIN_T1 -to hsma_clk_in_n[2]
# set_location_assignment PIN_Y4 -to hsma_clk_in_p[1]
# set_location_assignment PIN_T2 -to hsma_clk_in_p[2]
# set_location_assignment PIN_AD28 -to hsma_clk_out0
# set_location_assignment PIN_W9 -to hsma_clk_out_n[1]
# set_location_assignment PIN_W7 -to hsma_clk_out_n[2]
# set_location_assignment PIN_V10 -to hsma_clk_out_p[1]
# set_location_assignment PIN_W8 -to hsma_clk_out_p[2]
# set_location_assignment PIN_AK9 -to hsma_d[0]
# set_location_assignment PIN_AJ9 -to hsma_d[1]
# set_location_assignment PIN_AL7 -to hsma_d[2]
# set_location_assignment PIN_AL9 -to hsma_d[3]
# set_location_assignment PIN_Y25 -to hsma_rx_led
# set_location_assignment PIN_AJ3 -to hsma_rx_n[0]
# set_location_assignment PIN_AG3 -to hsma_rx_n[1]
# set_location_assignment PIN_AM1 -to hsma_rx_n[2]
# set_location_assignment PIN_AL1 -to hsma_rx_n[3]
# set_location_assignment PIN_AK1 -to hsma_rx_n[4]
# set_location_assignment PIN_AJ1 -to hsma_rx_n[5]
# set_location_assignment PIN_AF3 -to hsma_rx_n[6]
# set_location_assignment PIN_AH1 -to hsma_rx_n[7]
# set_location_assignment PIN_AF1 -to hsma_rx_n[8]
# set_location_assignment PIN_AE1 -to hsma_rx_n[9]
# set_location_assignment PIN_AE3 -to hsma_rx_n[10]
# set_location_assignment PIN_AD1 -to hsma_rx_n[11]
# set_location_assignment PIN_AC1 -to hsma_rx_n[12]
# set_location_assignment PIN_AB1 -to hsma_rx_n[13]
# set_location_assignment PIN_AB3 -to hsma_rx_n[14]
# set_location_assignment PIN_AA3 -to hsma_rx_n[15]
# set_location_assignment PIN_Y3 -to hsma_rx_n[16]
# set_location_assignment PIN_AJ4 -to hsma_rx_p[0]
# set_location_assignment PIN_AG4 -to hsma_rx_p[1]
# set_location_assignment PIN_AM2 -to hsma_rx_p[2]
# set_location_assignment PIN_AL2 -to hsma_rx_p[3]
# set_location_assignment PIN_AJ2 -to hsma_rx_p[4]
# set_location_assignment PIN_AH2 -to hsma_rx_p[5]
# set_location_assignment PIN_AF4 -to hsma_rx_p[6]
# set_location_assignment PIN_AG1 -to hsma_rx_p[7]
# set_location_assignment PIN_AF2 -to hsma_rx_p[8]
# set_location_assignment PIN_AE2 -to hsma_rx_p[9]
# set_location_assignment PIN_AE4 -to hsma_rx_p[10]
# set_location_assignment PIN_AC2 -to hsma_rx_p[11]
# set_location_assignment PIN_AB2 -to hsma_rx_p[12]
# set_location_assignment PIN_AA1 -to hsma_rx_p[13]
# set_location_assignment PIN_AC4 -to hsma_rx_p[14]
# set_location_assignment PIN_AB4 -to hsma_rx_p[15]
# set_location_assignment PIN_AA4 -to hsma_rx_p[16]
# set_location_assignment PIN_AA32 -to hsma_scl
# set_location_assignment PIN_P8 -to hsma_sda
# set_location_assignment PIN_AG29 -to hsma_tx_led
# set_location_assignment PIN_AB10 -to hsma_tx_n[0]
# set_location_assignment PIN_AC8 -to hsma_tx_n[1]
# set_location_assignment PIN_AH4 -to hsma_tx_n[2]
# set_location_assignment PIN_AE7 -to hsma_tx_n[3]
# set_location_assignment PIN_AF5 -to hsma_tx_n[4]
# set_location_assignment PIN_AD6 -to hsma_tx_n[5]
# set_location_assignment PIN_AE5 -to hsma_tx_n[6]
# set_location_assignment PIN_AD3 -to hsma_tx_n[7]
# set_location_assignment PIN_AC5 -to hsma_tx_n[8]
# set_location_assignment PIN_AB5 -to hsma_tx_n[9]
# set_location_assignment PIN_AC7 -to hsma_tx_n[10]
# set_location_assignment PIN_Y5 -to hsma_tx_n[11]
# set_location_assignment PIN_AA6 -to hsma_tx_n[12]
# set_location_assignment PIN_Y7 -to hsma_tx_n[13]
# set_location_assignment PIN_Y9 -to hsma_tx_n[14]
# set_location_assignment PIN_Y11 -to hsma_tx_n[15]
# set_location_assignment PIN_AB11 -to hsma_tx_n[16]
# set_location_assignment PIN_AC11 -to hsma_tx_p[0]
# set_location_assignment PIN_AC9 -to hsma_tx_p[1]
# set_location_assignment PIN_AH5 -to hsma_tx_p[2]
# set_location_assignment PIN_AE8 -to hsma_tx_p[3]
# set_location_assignment PIN_AF6 -to hsma_tx_p[4]
# set_location_assignment PIN_AD7 -to hsma_tx_p[5]
# set_location_assignment PIN_AE6 -to hsma_tx_p[6]
# set_location_assignment PIN_AD4 -to hsma_tx_p[7]
# set_location_assignment PIN_AC6 -to hsma_tx_p[8]
# set_location_assignment PIN_AB6 -to hsma_tx_p[9]
# set_location_assignment PIN_AB8 -to hsma_tx_p[10]
# set_location_assignment PIN_Y6 -to hsma_tx_p[11]
# set_location_assignment PIN_AA7 -to hsma_tx_p[12]
# set_location_assignment PIN_Y8 -to hsma_tx_p[13]
# set_location_assignment PIN_Y10 -to hsma_tx_p[14]
# set_location_assignment PIN_W12 -to hsma_tx_p[15]
# set_location_assignment PIN_AA12 -to hsma_tx_p[16]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_in0
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_in_n
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_in_n[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_in_n[2]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_clk_in_p
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_clk_in_p[1]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_clk_in_p[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_out0
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_out_n
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_out_n[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_out_n[2]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_clk_out_p
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_clk_out_p[1]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_clk_out_p[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_d
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_d[0]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_d[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_d[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_d[3]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_led
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[0]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[3]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[4]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[5]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[6]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[7]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[8]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[9]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[10]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[11]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[12]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[13]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[14]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[15]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[16]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[0]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[1]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[2]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[3]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[4]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[5]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[6]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[7]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[8]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[9]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[10]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[11]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[12]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[13]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[14]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[15]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[16]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_scl
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_sda
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_led
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[0]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[1]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[2]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[3]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[4]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[5]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[6]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[7]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[8]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[9]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[10]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[11]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[12]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[13]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[14]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[15]
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[16]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[0]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[1]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[2]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[3]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[4]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[5]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[6]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[7]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[8]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[9]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[10]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[11]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[12]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[13]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[14]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[15]
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[16]
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to hsma_scl
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to hsma_sda

# # QDRII
# set_location_assignment PIN_C17 -to qdrii_a[0]
# set_location_assignment PIN_C14 -to qdrii_a[1]
# set_location_assignment PIN_C16 -to qdrii_a[2]
# set_location_assignment PIN_A14 -to qdrii_a[3]
# set_location_assignment PIN_A15 -to qdrii_a[4]
# set_location_assignment PIN_F14 -to qdrii_a[5]
# set_location_assignment PIN_F15 -to qdrii_a[6]
# set_location_assignment PIN_A13 -to qdrii_a[7]
# set_location_assignment PIN_J15 -to qdrii_a[8]
# set_location_assignment PIN_G16 -to qdrii_a[9]
# set_location_assignment PIN_E14 -to qdrii_a[10]
# set_location_assignment PIN_B14 -to qdrii_a[11]
# set_location_assignment PIN_J16 -to qdrii_a[12]
# set_location_assignment PIN_H16 -to qdrii_a[13]
# set_location_assignment PIN_F12 -to qdrii_a[14]
# set_location_assignment PIN_D14 -to qdrii_a[15]
# set_location_assignment PIN_A10 -to qdrii_a[16]
# set_location_assignment PIN_B13 -to qdrii_a[17]
# set_location_assignment PIN_C15 -to qdrii_a[18]
# set_location_assignment PIN_E17 -to qdrii_a[19]
# set_location_assignment PIN_C11 -to qdrii_bwsn[0]
# set_location_assignment PIN_D11 -to qdrii_bwsn[1]
# set_location_assignment PIN_C4 -to qdrii_cq_n
# set_location_assignment PIN_H11 -to qdrii_cq_p
# set_location_assignment PIN_A9 -to qdrii_d[0]
# set_location_assignment PIN_B10 -to qdrii_d[1]
# set_location_assignment PIN_B11 -to qdrii_d[2]
# set_location_assignment PIN_A11 -to qdrii_d[3]
# set_location_assignment PIN_E11 -to qdrii_d[4]
# set_location_assignment PIN_A12 -to qdrii_d[5]
# set_location_assignment PIN_C12 -to qdrii_d[6]
# set_location_assignment PIN_D12 -to qdrii_d[7]
# set_location_assignment PIN_D13 -to qdrii_d[8]
# set_location_assignment PIN_L14 -to qdrii_d[9]
# set_location_assignment PIN_K15 -to qdrii_d[10]
# set_location_assignment PIN_K13 -to qdrii_d[11]
# set_location_assignment PIN_K14 -to qdrii_d[12]
# set_location_assignment PIN_G13 -to qdrii_d[13]
# set_location_assignment PIN_D10 -to qdrii_d[14]
# set_location_assignment PIN_F11 -to qdrii_d[15]
# set_location_assignment PIN_F13 -to qdrii_d[16]
# set_location_assignment PIN_G12 -to qdrii_d[17]
# set_location_assignment PIN_H14 -to qdrii_k_n
# set_location_assignment PIN_J14 -to qdrii_k_p
# set_location_assignment PIN_C3 -to qdrii_odt
# set_location_assignment PIN_A3 -to qdrii_q[0]
# set_location_assignment PIN_B4 -to qdrii_q[1]
# set_location_assignment PIN_A4 -to qdrii_q[2]
# set_location_assignment PIN_A5 -to qdrii_q[3]
# set_location_assignment PIN_C6 -to qdrii_q[4]
# set_location_assignment PIN_F8 -to qdrii_q[5]
# set_location_assignment PIN_G9 -to qdrii_q[6]
# set_location_assignment PIN_F9 -to qdrii_q[7]
# set_location_assignment PIN_G10 -to qdrii_q[8]
# set_location_assignment PIN_J12 -to qdrii_q[9]
# set_location_assignment PIN_J11 -to qdrii_q[10]
# set_location_assignment PIN_G8 -to qdrii_q[11]
# set_location_assignment PIN_G11 -to qdrii_q[12]
# set_location_assignment PIN_B2 -to qdrii_q[13]
# set_location_assignment PIN_B5 -to qdrii_q[14]
# set_location_assignment PIN_F6 -to qdrii_q[15]
# set_location_assignment PIN_C5 -to qdrii_q[16]
# set_location_assignment PIN_D6 -to qdrii_q[17]
# set_location_assignment PIN_A2 -to qdrii_qvld
# set_location_assignment PIN_D17 -to qdrii_rpsn
# set_location_assignment PIN_K16 -to qdrii_wpsn
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[0]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[1]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[2]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[3]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[4]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[5]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[6]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[7]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[8]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[9]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[10]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[11]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[12]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[13]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[14]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[15]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[16]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[17]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[18]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[19]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_bwsn
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_bwsn[0]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_bwsn[1]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_cq_n
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_cq_p
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[0]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[1]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[2]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[3]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[4]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[5]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[6]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[7]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[8]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[9]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[10]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[11]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[12]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[13]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[14]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[15]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[16]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[17]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_k_n
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_k_p
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_odt
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[0]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[1]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[2]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[3]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[4]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[5]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[6]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[7]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[8]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[9]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[10]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[11]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[12]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[13]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[14]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[15]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[16]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[17]
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_qvld
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_rpsn
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_wpsn

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.