OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [modelsim/] [gaisler/] [i2c_slave_model/] [_primary.vhd] - Rev 2

Compare with Previous | Blame | View Log

library verilog;
use verilog.vl_types.all;
entity i2c_slave_model is
    generic(
        I2C_ADR         : integer := 80;
        idle            : integer := 0;
        slave_ack       : integer := 1;
        get_mem_adr     : integer := 2;
        gma_ack         : integer := 3;
        data            : integer := 4;
        data_ack        : integer := 5
    );
    port(
        scl             : in     vl_logic;
        sda             : inout  vl_logic
    );
end i2c_slave_model;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.