OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [testbench.mpf] - Rev 2

Compare with Previous | Blame | View Log

[Library]
grlib = modelsim/grlib
unisim = modelsim/unisim
dw02 = modelsim/dw02
synplify = modelsim/synplify
techmap = modelsim/techmap
spw = modelsim/spw
eth = modelsim/eth
opencores = modelsim/opencores
gaisler = modelsim/gaisler
esa = modelsim/esa
micron = modelsim/micron
work = modelsim/work
std = $MODEL_TECH/../std
ieee = $MODEL_TECH/../ieee
vital2000 = $MODEL_TECH/../vital2000
verilog = $MODEL_TECH/../verilog
arithmetic = $MODEL_TECH/../arithmetic
mgc_portable = $MODEL_TECH/../mgc_portable
std_developerskit = $MODEL_TECH/../std_developerskit
synopsys = $MODEL_TECH/../synopsys

[vcom]
; Turn on VHDL-1993 as the default. Normally is off.
VHDL93 = 1

; Show source line containing error. Default is off.
Show_source = 1

; Turn off unbound-component warnings. Default is on.
Show_Warning1 = 0

; Turn off process-without-a-wait-statement warnings. Default is on.
; Show_Warning2 = 0

; Turn off null-range warnings. Default is on.
; Show_Warning3 = 0

; Turn off no-space-in-time-literal warnings. Default is on.
; Show_Warning4 = 0

; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
Show_Warning5 = 0

; Turn off optimization for IEEE std_logic_1164 package. Default is on.
; Optimize_1164 = 0

; Turn on resolving of ambiguous function overloading in favor of the
; "explicit" function declaration (not the one automatically created by
; the compiler for each type declaration). Default is off.
; Explicit = 1

; Turn off VITAL compliance checking. Default is checking on.
; NoVitalCheck = 1

; Ignore VITAL compliance checking errors. Default is to not ignore.
; IgnoreVitalErrors = 1

; Turn off VITAL compliance checking warnings. Default is to show warnings.
; Show_VitalChecksWarnings = false

; Turn off acceleration of the VITAL packages. Default is to accelerate.
; NoVital = 1

; Turn off inclusion of debugging info within design units. Default is to include.
; NoDebug = 1

; Turn off "loading..." messages. Default is messages on.
Quiet = 1

; Turn on some limited synthesis rule compliance checking. Checks only:
;       -- signals used (read) by a process must be in the sensitivity list
; CheckSynthesis = 1

[vlog]

; Turn off inclusion of debugging info within design units. Default is to include.
; NoDebug = 1

; Turn off "loading..." messages. Default is messages on.
Quiet = 1

; Turn on Verilog hazard checking (order-dependent accessing of global vars).
; Default is off.
; Hazard = 1

; Turn on converting regular Verilog identifiers to uppercase. Allows case
; insensitivity for module names. Default is no conversion.
; UpCase = 1

[vsim]

; vopt flow                                   
; Set to turn on automatic optimization of a design.
; Default is off (pre-6.0 flow without vopt).
VoptFlow = 0

; Simulator resolution
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
Resolution = 1ps

; User time unit for run commands
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
; unit specified for Resolution. For example, if Resolution is 100ps,
; then UserTimeUnit defaults to ps.
UserTimeUnit = ns

; Default run length
RunLength = 100 ns

; Maximum iterations that can be run without advancing simulation time
IterationLimit = 5000

; Directive to license manager:
; vhdl          Immediately reserve a VHDL license
; vlog          Immediately reserve a Verilog license
; plus          Immediately reserve a VHDL and Verilog license
; nomgc         Do not look for Mentor Graphics Licenses
; nomti         Do not look for Model Technology Licenses
; noqueue       Do not wait in the license queue when a license isn't available
; License = plus

; Stop the simulator after an assertion message
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
BreakOnAssertion = 3

; Assertion Message Format
; %S - Severity Level 
; %R - Report Message
; %T - Time of assertion
; %D - Delta
; %I - Instance or Region pathname (if available)
; %% - print '%' character
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"

; Default radix for all windows and commands...
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
DefaultRadix = symbolic

; VSIM Startup command
; Startup = do startup.do

; File for saving command transcript
TranscriptFile = transcript

; Specify whether paths in simulator commands should be described 
; in VHDL or Verilog format. For VHDL, PathSeparator = /
; for Verilog, PathSeparator = .
PathSeparator = /

; Disable assertion messages
; IgnoreNote = 1
; IgnoreWarning = 1
; IgnoreError = 1
; IgnoreFailure = 1

; Default force kind. May be freeze, drive, or deposit 
; or in other terms, fixed, wired or charged.
; DefaultForceKind = freeze

; If zero, open files when elaborated
; else open files on first read or write
; DelayFileOpen = 0

; Control VHDL files opened for write
;   0 = Buffered, 1 = Unbuffered
UnbufferedOutput = 0

; This controls the number of characters of a signal name
; shown in the waveform window and the postscript plot. 
; The default value or a value of zero tells VSIM to display 
; the full name.
; WaveSignalNameWidth = 10

; Turn off warnings from the std_logic_arith, std_logic_unsigned
; and std_logic_signed packages.
; StdArithNoWarnings = 1

; Turn off warnings from the IEEE numeric_std and numeric_bit
; packages.
; NumericStdNoWarnings = 1

; Control the format of a generate statement label. Don't quote it.
; GenerateFormat = %s__%d

; Specify whether checkpoint files should be compressed.
; The default is to be compressed.
; CheckpointCompressMode = 0

; List of dynamically loaded objects for Verilog PLI applications
; Veriuser = veriuser.sl

[lmc]
; ModelSim's interface to Logic Modeling's SmartModel SWIFT software
libsm = $MODEL_TECH/libsm.sl
; ModelSim's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
; libsm = $MODEL_TECH/libsm.dll
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
;  Logic Modeling's SmartModel SWIFT software (Sun4 SunOS)
;       do setenv LD_LIBRARY_PATH $LMC_HOME/lib/sun4SunOS.lib
;       and run "vsim.swift".
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll

; ModelSim's interface to Logic Modeling's hardware modeler SFI software
libhm = $MODEL_TECH/libhm.sl
; ModelSim's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
; libhm = $MODEL_TECH/libhm.dll
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
; libsfi = <sfi_dir>/lib/hp700/libsfi.sl
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
; libsfi = <sfi_dir>/lib/rs6000/libsfi.a
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so
;  Logic Modeling's hardware modeler SFI software (Sun4 SunOS)
; libsfi = <sfi_dir>/lib/sun4.sunos/libsfi.so
;  Logic Modeling's hardware modeler SFI software (Window NT)
; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll
[Project]
Project_Version = 6
Project_DefaultLib = work
Project_SortMethod = unused
Project_Files_Count = 246
Project_File_0 = ../../lib/gaisler/leon3/mmulrue.vhd
Project_File_P_0 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 134 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_1 = ../../lib/grlib/amba/ahbctrl.vhd
Project_File_P_1 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 13 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_2 = ../../lib/gaisler/jtag/jtagcom.vhd
Project_File_P_2 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 202 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_3 = ../../lib/gaisler/greth/greth.vhd
Project_File_P_3 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 207 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_4 = ../../lib/gaisler/leon3/top.vhd
Project_File_P_4 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1275404013 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 159 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_5 = ../../lib/grlib/stdlib/stdlib.vhd
Project_File_P_5 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 1 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_6 = ../../lib/grlib/sparc/sparc_disas.vhd
Project_File_P_6 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 5 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_7 = ../../lib/tech/unisim/simprims/xilinx_mem.vhd
Project_File_P_7 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to unisim compile_order 19 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_8 = ../../lib/gaisler/leon3/grfpwx.vhd
Project_File_P_8 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 145 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_9 = ../../lib/gaisler/misc/i2cslv.vhd
Project_File_P_9 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 183 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_10 = ../../lib/gaisler/arith/mul32.vhd
Project_File_P_10 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 114 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_11 = ../../lib/gaisler/misc/charrom_package.vhd
Project_File_P_11 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 176 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_12 = ../../lib/grlib/sparc/sparc.vhd
Project_File_P_12 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 4 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_13 = ../../lib/gaisler/spacewire/grspwm.vhd
Project_File_P_13 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 213 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_14 = ../../lib/gaisler/misc/apbps2.vhd
Project_File_P_14 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 175 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_15 = ../../lib/techmap/maps/regfile_3p.vhd
Project_File_P_15 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 58 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_16 = ../../lib/opencores/ata/atahost_dma_fifo.vhd
Project_File_P_16 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 103 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_17 = ../../lib/gaisler/uart/libdcom.vhd
Project_File_P_17 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 188 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_18 = ../../lib/gaisler/leon3/acache.vhd
Project_File_P_18 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 140 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_19 = ../../lib/grlib/stdlib/stdio.vhd
Project_File_P_19 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 2 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_20 = ../../lib/techmap/unisim/ddr_phy_unisim.vhd
Project_File_P_20 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 36 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_21 = ../../lib/micron/sdram/components.vhd
Project_File_P_21 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to micron compile_order 236 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_22 = ../../lib/gaisler/jtag/jtagtst.vhd
Project_File_P_22 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 205 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_23 = ../../lib/gaisler/leon3/my_mux.vhd
Project_File_P_23 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1248779408 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 158 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_24 = ../../lib/eth/comp/ethcomp.vhd
Project_File_P_24 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 84 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_25 = ../../lib/techmap/maps/syncram64.vhd
Project_File_P_25 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 54 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_26 = ../../lib/gaisler/uart/ahbuart.vhd
Project_File_P_26 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 192 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_27 = ../../lib/gaisler/can/can.vhd
Project_File_P_27 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 160 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_28 = ../../lib/gaisler/sim/sram.vhd
Project_File_P_28 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 195 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_29 = ../../lib/techmap/maps/allpads.vhd
Project_File_P_29 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 45 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_30 = ../../lib/gaisler/uart/dcom.vhd
Project_File_P_30 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 190 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_31 = ../../lib/techmap/dw02/mul_dw_gen.vhd
Project_File_P_31 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 29 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_32 = ../../lib/gaisler/ata/atactrl_dma.vhd
Project_File_P_32 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 221 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_33 = ../../lib/gaisler/vlog/ulit.v
Project_File_P_33 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1275592495 vlog_disableopt 0 cover_optlevel 2 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to gaisler vlog_upper 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog compile_order 225 cover_nosub 0 dont_compile 0
Project_File_34 = ../../lib/grlib/amba/defmst.vhd
Project_File_P_34 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 11 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_35 = ../../lib/gaisler/can/canmux.vhd
Project_File_P_35 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 164 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_36 = ../../lib/gaisler/misc/ahbram.vhd
Project_File_P_36 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 169 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_37 = ../../lib/gaisler/jtag/ahbjtag_bsd.vhd
Project_File_P_37 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 204 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_38 = ../../lib/eth/core/grethc.vhd
Project_File_P_38 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 90 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_39 = ../../lib/techmap/maps/ringosc.vhd
Project_File_P_39 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 80 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_40 = ../../lib/eth/wrapper/greth_gbit_gen.vhd
Project_File_P_40 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 92 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_41 = ../../lib/techmap/maps/inpad_ds.vhd
Project_File_P_41 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 64 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_42 = ../../lib/techmap/inferred/mul_inferred.vhd
Project_File_P_42 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 27 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_43 = ../../lib/gaisler/jtag/ahbjtag.vhd
Project_File_P_43 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 203 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_44 = ../../lib/gaisler/vlog/RF_stage1.v
Project_File_P_44 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1275405270 vlog_disableopt 0 vlog_showsource 0 vlog_hazard 0 cover_optlevel 2 ood 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog vlog_upper 0 compile_to gaisler compile_order 227 dont_compile 0 cover_nosub 0
Project_File_45 = ../../lib/work/debug/debug.vhd
Project_File_P_45 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 238 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_46 = ../../lib/techmap/unisim/pads_unisim.vhd
Project_File_P_46 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 32 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_47 = ../../lib/gaisler/vlog/decode_pipe1.v
Project_File_P_47 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1275592244 vlog_disableopt 0 cover_optlevel 2 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to gaisler vlog_upper 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog compile_order 223 cover_nosub 0 dont_compile 0
Project_File_48 = ../../lib/techmap/maps/outpad_ds.vhd
Project_File_P_48 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 71 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_49 = ../../lib/gaisler/misc/rstgen.vhd
Project_File_P_49 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 167 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_50 = ../../lib/gaisler/ata/ata_inf.vhd
Project_File_P_50 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 216 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_51 = ../../lib/gaisler/greth/ethernet_mac.vhd
Project_File_P_51 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 206 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_52 = ../../lib/opencores/can/cancomp.vhd
Project_File_P_52 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 94 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_53 = ../../lib/grlib/modgen/multlib.vhd
Project_File_P_53 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 7 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_54 = ../../lib/opencores/i2c/i2coc.vhd
Project_File_P_54 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 99 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_55 = ../../lib/work/debug/cpu_disas.vhd
Project_File_P_55 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 240 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_56 = ../../lib/opencores/ata/ocidec2_controller.vhd
Project_File_P_56 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 110 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_57 = ../../lib/gaisler/leon3/mmutlb.vhd
Project_File_P_57 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 136 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_58 = ../../lib/work/debug/grtestmod.vhd
Project_File_P_58 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 239 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_59 = ../../lib/gaisler/misc/ahbtrace.vhd
Project_File_P_59 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 170 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_60 = ../../lib/gaisler/leon3/mmu.vhd
Project_File_P_60 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 138 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_61 = ../../lib/gaisler/leon3/dsu3x.vhd
Project_File_P_61 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 149 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_62 = ../../lib/grlib/stdlib/version.vhd
Project_File_P_62 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 0 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_63 = ../../lib/techmap/maps/iopad.vhd
Project_File_P_63 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 66 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_64 = ../../lib/techmap/unisim/grfpw_unisim.vhd
Project_File_P_64 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 38 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_65 = ../../lib/techmap/maps/ddr_oreg.vhd
Project_File_P_65 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 51 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_66 = ../../lib/gaisler/sim/ahbrep.vhd
Project_File_P_66 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 199 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_67 = ../../lib/gaisler/spacewire/grspw.vhd
Project_File_P_67 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 211 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_68 = ../../lib/gaisler/memctrl/srctrl.vhd
Project_File_P_68 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 119 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_69 = ../../lib/gaisler/ata/ocidec2_amba_slave.vhd
Project_File_P_69 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 219 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_70 = ../../lib/grlib/amba/apbctrl.vhd
Project_File_P_70 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 12 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_71 = ../../lib/opencores/ata/atahost_dma_tctrl.vhd
Project_File_P_71 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 105 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_72 = ../../lib/spw/comp/spwcomp.vhd
Project_File_P_72 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to spw compile_order 82 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_73 = ../../lib/gaisler/leon3/grlfpwx.vhd
Project_File_P_73 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 147 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_74 = ../../lib/gaisler/leon3/cpu_disasx.vhd
Project_File_P_74 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 144 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_75 = ../../lib/gaisler/misc/ahbdma.vhd
Project_File_P_75 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 179 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_76 = ../../lib/opencores/can/can_top.vhd
Project_File_P_76 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 95 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_77 = ../../lib/gaisler/misc/apbvga.vhd
Project_File_P_77 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 178 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_78 = ../../lib/esa/memoryctrl/memoryctrl.vhd
Project_File_P_78 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to esa compile_order 233 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_79 = ../../lib/techmap/unisim/buffer_unisim.vhd
Project_File_P_79 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 31 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_80 = ../../lib/gaisler/leon3/icache.vhd
Project_File_P_80 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 142 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_81 = testbench.vhd
Project_File_P_81 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1270403637 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 245 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_82 = ../../lib/gaisler/vlog/forward.v
Project_File_P_82 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1250031948 vlog_disableopt 0 vlog_showsource 0 vlog_hazard 0 cover_optlevel 2 ood 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog vlog_upper 0 compile_to gaisler compile_order 231 dont_compile 0 cover_nosub 0
Project_File_83 = ../../lib/techmap/inferred/memory_inferred.vhd
Project_File_P_83 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 25 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_84 = ../../lib/gaisler/uart/apbuart.vhd
Project_File_P_84 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 189 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_85 = ../../lib/gaisler/sim/i2c_slave_model.v
Project_File_P_85 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1208957498 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to gaisler vlog_upper 0 vlog_options {} compile_order 193 dont_compile 0
Project_File_86 = ../../lib/gaisler/leon3/libproc3.vhd
Project_File_P_86 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 128 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_87 = ../../lib/techmap/maps/grusbhc_net.vhd
Project_File_P_87 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 79 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_88 = ../../lib/opencores/ata/atahost_pio_tctrl.vhd
Project_File_P_88 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 106 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_89 = ../../lib/gaisler/can/can_rd.vhd
Project_File_P_89 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 165 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_90 = ../../lib/esa/memoryctrl/mctrl.vhd
Project_File_P_90 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to esa compile_order 234 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_91 = ../../lib/gaisler/misc/grgpio.vhd
Project_File_P_91 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 172 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_92 = ../../lib/gaisler/misc/spictrl.vhd
Project_File_P_92 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 182 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_93 = ../../lib/gaisler/spacewire/spacewire.vhd
Project_File_P_93 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 210 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_94 = ../../lib/eth/core/greth_rx.vhd
Project_File_P_94 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 89 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_95 = ../../lib/gaisler/leon3/mmu_dcache.vhd
Project_File_P_95 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 131 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_96 = ../../lib/techmap/maps/syncram_2p.vhd
Project_File_P_96 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 55 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_97 = ../../lib/techmap/maps/ddr_ireg.vhd
Project_File_P_97 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 50 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_98 = ../../lib/techmap/maps/syncram_dp.vhd
Project_File_P_98 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 56 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_99 = ../../lib/grlib/util/util.vhd
Project_File_P_99 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 3 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_100 = ../../lib/gaisler/leon3/libiu.vhd
Project_File_P_100 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1275402598 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 126 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_101 = ../../lib/opencores/occomp/occomp.vhd
Project_File_P_101 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 93 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_102 = ../../lib/techmap/gencomp/netcomp.vhd
Project_File_P_102 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 24 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_103 = ../../lib/gaisler/can/can_mc.vhd
Project_File_P_103 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 163 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_104 = ../../lib/tech/unisim/vcomponents/xilinx_vcomponents.vhd
Project_File_P_104 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to unisim compile_order 17 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_105 = ../../lib/techmap/maps/syncfifo.vhd
Project_File_P_105 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 57 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_106 = ../../lib/opencores/ata/atahost_dma_actrl.vhd
Project_File_P_106 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 104 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_107 = ../../lib/techmap/maps/clkmux.vhd
Project_File_P_107 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 48 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_108 = ../../lib/gaisler/leon3/proc3.vhd
Project_File_P_108 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1275402556 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 151 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_109 = ../../lib/techmap/maps/outpad.vhd
Project_File_P_109 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 70 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_110 = ../../lib/tech/dw02/comp/DW02_components.vhd
Project_File_P_110 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to dw02 compile_order 20 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_111 = ../../lib/grlib/amba/amba.vhd
Project_File_P_111 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 9 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_112 = ../../lib/gaisler/leon3/libcache.vhd
Project_File_P_112 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 127 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_113 = ../../lib/gaisler/leon3/leon3s.vhd
Project_File_P_113 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1248369878 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 152 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_114 = ../../lib/gaisler/misc/logan.vhd
Project_File_P_114 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 174 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_115 = ../../lib/gaisler/arith/div32.vhd
Project_File_P_115 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 115 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_116 = ../../lib/opencores/ata/ro_cnt.vhd
Project_File_P_116 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 102 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_117 = ../../lib/techmap/maps/clkpad.vhd
Project_File_P_117 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 61 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_118 = ../../lib/gaisler/misc/ahbstat.vhd
Project_File_P_118 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 173 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_119 = ../../lib/opencores/ac97/ac97_top.v
Project_File_P_119 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1214675124 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to opencores vlog_upper 0 vlog_options {} compile_order 112 dont_compile 0
Project_File_120 = ../../lib/opencores/ata/ata_device_oc.v
Project_File_P_120 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1197242596 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to opencores vlog_upper 0 vlog_options {} compile_order 111 dont_compile 0
Project_File_121 = ../../lib/eth/core/eth_ahb_mst.vhd
Project_File_P_121 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 87 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_122 = ../../lib/gaisler/leon3/irqmp.vhd
Project_File_P_122 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 154 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_123 = ../../lib/micron/sdram/mobile_sdr.v
Project_File_P_123 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1215074612 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to micron vlog_upper 0 vlog_options {} compile_order 235 dont_compile 0
Project_File_124 = ../../lib/gaisler/ata/ata.vhd
Project_File_P_124 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 215 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_125 = ../../lib/techmap/maps/grlfpw_net.vhd
Project_File_P_125 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 75 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_126 = ../../lib/gaisler/leon3/mmu_acache.vhd
Project_File_P_126 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 132 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_127 = ../../lib/gaisler/misc/wild.vhd
Project_File_P_127 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 184 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_128 = ../../lib/opencores/ata/atahost_pio_actrl.vhd
Project_File_P_128 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 107 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_129 = ../../lib/techmap/maps/grfpw_net.vhd
Project_File_P_129 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 76 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_130 = ../../lib/techmap/unisim/ssrctrl_unisim.vhd
Project_File_P_130 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 41 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_131 = ../../lib/techmap/unisim/tap_unisim.vhd
Project_File_P_131 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 34 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_132 = ../../lib/opencores/ata/ud_cnt.vhd
Project_File_P_132 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 101 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_133 = ../../lib/gaisler/leon3/leon3.vhd
Project_File_P_133 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 121 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_134 = ../../lib/gaisler/leon3/mfpwx.vhd
Project_File_P_134 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 146 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_135 = ../../lib/tech/unisim/simprims/xilinx_simprims.vhd
Project_File_P_135 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to unisim compile_order 18 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_136 = ../../lib/grlib/amba/dma2ahb_tp.vhd
Project_File_P_136 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 16 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_137 = ../../lib/techmap/maps/odpad.vhd
Project_File_P_137 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 69 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_138 = ../../lib/gaisler/vlog/ctl_fsm1.v
Project_File_P_138 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1275302348 vlog_disableopt 0 vlog_showsource 0 vlog_hazard 0 cover_optlevel 2 ood 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog vlog_upper 0 compile_to gaisler compile_order 226 dont_compile 0 cover_nosub 0
Project_File_139 = ../../lib/grlib/amba/devices.vhd
Project_File_P_139 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 10 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_140 = ../../lib/techmap/maps/toutpad.vhd
Project_File_P_140 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 72 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_141 = ../../lib/gaisler/leon3/cache.vhd
Project_File_P_141 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 143 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_142 = ../../lib/techmap/maps/syncram.vhd
Project_File_P_142 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 53 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_143 = ../../lib/techmap/maps/skew_outpad.vhd
Project_File_P_143 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 73 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_144 = ../../lib/gaisler/leon3/mmulru.vhd
Project_File_P_144 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 135 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_145 = ../../lib/gaisler/usb/grusb.vhd
Project_File_P_145 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 214 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_146 = ../../lib/opencores/spi/simple_spi_top.v
Project_File_P_146 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1194883496 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to opencores vlog_upper 0 vlog_options {} compile_order 100 dont_compile 0
Project_File_147 = vga_clkgen.vhd
Project_File_P_147 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 243 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_148 = ../../lib/spw/wrapper/grspw_gen.vhd
Project_File_P_148 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to spw compile_order 83 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_149 = ../../lib/opencores/i2c/i2c_master_bit_ctrl.vhd
Project_File_P_149 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 97 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_150 = ../../lib/gaisler/arith/arith.vhd
Project_File_P_150 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 113 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_151 = ../../lib/gaisler/uart/uart.vhd
Project_File_P_151 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 187 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_152 = ../../lib/gaisler/greth/greth_gbit.vhd
Project_File_P_152 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 208 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_153 = ../../lib/techmap/unisim/grspwc_unisim.vhd
Project_File_P_153 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 37 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_154 = ../../lib/gaisler/misc/svgactrl.vhd
Project_File_P_154 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 180 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_155 = ../../lib/opencores/ata/atahost_pio_controller.vhd
Project_File_P_155 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 109 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_156 = ../../lib/gaisler/leon3/mmu_cache.vhd
Project_File_P_156 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 139 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_157 = ../../lib/gaisler/leon3/leon3cg.vhd
Project_File_P_157 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 153 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_158 = ../../lib/opencores/i2c/i2c_master_byte_ctrl.vhd
Project_File_P_158 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 98 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_159 = ../../lib/eth/core/eth_rstgen.vhd
Project_File_P_159 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 86 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_160 = ../../lib/gaisler/memctrl/memctrl.vhd
Project_File_P_160 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 116 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_161 = ../../lib/techmap/maps/clkpad_ds.vhd
Project_File_P_161 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 62 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_162 = ../../lib/opencores/can/can_top_core_sync.vhd
Project_File_P_162 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 96 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_163 = ../../lib/techmap/maps/iodpad.vhd
Project_File_P_163 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 65 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_164 = ../../lib/techmap/maps/mul_61x61.vhd
Project_File_P_164 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 77 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_165 = ../../lib/micron/sdram/mt48lc16m16a2.vhd
Project_File_P_165 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to micron compile_order 237 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_166 = ../../lib/gaisler/misc/ahbmst.vhd
Project_File_P_166 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 171 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_167 = ../../lib/techmap/unisim/grusbhc_unisimpkg.vhd
Project_File_P_167 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 39 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_168 = ../../lib/gaisler/vlog/mips789_defs.v
Project_File_P_168 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1248197262 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to gaisler vlog_upper 0 vlog_options {} compile_order 229 dont_compile 0
Project_File_169 = ../../lib/gaisler/leon3/mmuconfig.vhd
Project_File_P_169 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 123 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_170 = ../../lib/techmap/maps/iopad_ds.vhd
Project_File_P_170 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 67 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_171 = ../../lib/techmap/maps/ssrctrl_net.vhd
Project_File_P_171 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 81 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_172 = ../../lib/eth/core/greth_tx.vhd
Project_File_P_172 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 88 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_173 = ../../lib/gaisler/memctrl/sdctrl.vhd
Project_File_P_173 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 117 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_174 = ../../lib/gaisler/greth/grethm.vhd
Project_File_P_174 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 209 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_175 = ../../lib/techmap/maps/alltap.vhd
Project_File_P_175 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 46 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_176 = ../../lib/grlib/modgen/leaves.vhd
Project_File_P_176 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 8 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_177 = ../../lib/eth/wrapper/greth_gen.vhd
Project_File_P_177 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 91 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_178 = ../../lib/gaisler/misc/i2cmst.vhd
Project_File_P_178 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 181 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_179 = ../../lib/gaisler/net/net.vhd
Project_File_P_179 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 186 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_180 = ../../lib/gaisler/leon3/dsu3.vhd
Project_File_P_180 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 150 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_181 = ../../lib/gaisler/leon3/tbufmem.vhd
Project_File_P_181 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 148 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_182 = ../../lib/gaisler/vlog/RF_components1.v
Project_File_P_182 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1275085444 vlog_disableopt 0 vlog_showsource 0 vlog_hazard 0 cover_optlevel 2 ood 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog vlog_upper 0 compile_to gaisler compile_order 228 dont_compile 0 cover_nosub 0
Project_File_183 = ../../lib/gaisler/jtag/jtag.vhd
Project_File_P_183 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 200 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_184 = ../../lib/techmap/maps/lvds_combo.vhd
Project_File_P_184 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 68 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_185 = ../../lib/opencores/ata/atahost_controller.vhd
Project_File_P_185 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 108 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_186 = ../../lib/techmap/maps/techbuf.vhd
Project_File_P_186 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 60 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_187 = ../../lib/gaisler/memctrl/sdmctrl.vhd
Project_File_P_187 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 118 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_188 = ../../lib/gaisler/can/can_oc.vhd
Project_File_P_188 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 162 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_189 = ../../lib/synplify/sim/synplify.vhd
Project_File_P_189 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to synplify compile_order 21 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_190 = ../../lib/gaisler/ata/atahost_ahbmst.vhd
Project_File_P_190 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 218 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_191 = ../../lib/techmap/maps/inpad.vhd
Project_File_P_191 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 63 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_192 = ../../lib/gaisler/uart/dcom_uart.vhd
Project_File_P_192 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 191 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_193 = ../../lib/gaisler/leon3/mmutlbcam.vhd
Project_File_P_193 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 133 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_194 = ../../lib/gaisler/leon3/reg_zero.vhd
Project_File_P_194 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1272905939 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 122 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_195 = ../../lib/gaisler/vlog/core1.v
Project_File_P_195 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1275592621 vlog_disableopt 0 vlog_showsource 0 vlog_hazard 0 cover_optlevel 2 ood 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog vlog_upper 0 compile_to gaisler compile_order 232 dont_compile 0 cover_nosub 0
Project_File_196 = ../../lib/gaisler/ata/atactrl_nodma.vhd
Project_File_P_196 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 220 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_197 = ../../lib/gaisler/misc/misc.vhd
Project_File_P_197 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 166 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_198 = ../../lib/techmap/maps/grspwc_net.vhd
Project_File_P_198 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 74 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_199 = ../../lib/techmap/unisim/clkgen_unisim.vhd
Project_File_P_199 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 33 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_200 = ../../lib/techmap/inferred/ddr_phy_inferred.vhd
Project_File_P_200 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 28 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_201 = ../../lib/techmap/unisim/grusbhc_unisim.vhd
Project_File_P_201 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 40 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_202 = ../../lib/gaisler/jtag/libjtagcom.vhd
Project_File_P_202 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 201 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_203 = ../../lib/gaisler/misc/gptimer.vhd
Project_File_P_203 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 168 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_204 = ../../lib/gaisler/leon3/mmu_icache.vhd
Project_File_P_204 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 130 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_205 = ../../lib/gaisler/ata/atahost_amba_slave.vhd
Project_File_P_205 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 217 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_206 = ../../lib/gaisler/leon3/leon3sh.vhd
Project_File_P_206 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 157 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_207 = ../../lib/techmap/unisim/ddr_unisim.vhd
Project_File_P_207 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 35 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_208 = ../../lib/gaisler/leon3/grfpushwx.vhd
Project_File_P_208 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 156 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_209 = ../../lib/gaisler/ata/atactrl.vhd
Project_File_P_209 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 222 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_210 = ../../lib/gaisler/leon3/grfpwxsh.vhd
Project_File_P_210 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 155 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_211 = ../../lib/techmap/inferred/ddr_inferred.vhd
Project_File_P_211 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 26 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_212 = ../../lib/gaisler/vlog/hazard_unit.v
Project_File_P_212 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1250031256 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to gaisler vlog_upper 0 vlog_options {} compile_order 230 dont_compile 0
Project_File_213 = ../../lib/gaisler/sim/ata_device.vhd
Project_File_P_213 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 196 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_214 = ../../lib/gaisler/misc/charrom.vhd
Project_File_P_214 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 177 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_215 = ../../lib/techmap/maps/tap.vhd
Project_File_P_215 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 59 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_216 = ../../lib/gaisler/memctrl/spimctrl.vhd
Project_File_P_216 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 120 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_217 = ../../lib/gaisler/sim/sim.vhd
Project_File_P_217 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 194 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_218 = ../../lib/gaisler/can/can_mod.vhd
Project_File_P_218 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 161 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_219 = ../../lib/techmap/maps/allmem.vhd
Project_File_P_219 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 44 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_220 = ../../lib/grlib/sparc/cpu_disas.vhd
Project_File_P_220 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 6 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_221 = config.vhd
Project_File_P_221 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1271923519 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 241 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_222 = ../../lib/techmap/maps/ddrphy.vhd
Project_File_P_222 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 52 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_223 = ../../lib/techmap/maps/allddr.vhd
Project_File_P_223 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 43 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_224 = ahbrom.vhd
Project_File_P_224 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 242 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_225 = ../../lib/gaisler/misc/wild2ahb.vhd
Project_File_P_225 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 185 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_226 = ../../lib/gaisler/leon3/dcache.vhd
Project_File_P_226 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 141 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_227 = ../../lib/techmap/maps/allclkgen.vhd
Project_File_P_227 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 42 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_228 = ../../lib/techmap/maps/cpu_disas_net.vhd
Project_File_P_228 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 78 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_229 = leon3mp.vhd
Project_File_P_229 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 244 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_230 = ../../lib/eth/core/greth_pkg.vhd
Project_File_P_230 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 85 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_231 = ../../lib/gaisler/spacewire/grspw2.vhd
Project_File_P_231 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 212 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_232 = ../../lib/grlib/amba/dma2ahb.vhd
Project_File_P_232 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 15 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_233 = ../../lib/grlib/amba/dma2ahb_pkg.vhd
Project_File_P_233 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 14 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_234 = ../../lib/gaisler/sim/phy.vhd
Project_File_P_234 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 198 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_235 = ../../lib/gaisler/sim/sram16.vhd
Project_File_P_235 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 197 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_236 = ../../lib/gaisler/vlog/EXEC_stage.v
Project_File_P_236 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1267471226 vlog_disableopt 0 vlog_showsource 0 vlog_hazard 0 cover_optlevel 2 ood 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog vlog_upper 0 compile_to gaisler compile_order 224 dont_compile 0 cover_nosub 0
Project_File_237 = ../../lib/techmap/gencomp/gencomp.vhd
Project_File_P_237 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 23 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_238 = ../../lib/techmap/unisim/memory_unisim.vhd
Project_File_P_238 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 30 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_239 = ../../lib/gaisler/leon3/libmmu.vhd
Project_File_P_239 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 125 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_240 = ../../lib/gaisler/leon3/mmuiface.vhd
Project_File_P_240 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 124 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_241 = ../../lib/techmap/maps/clkgen.vhd
Project_File_P_241 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 47 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_242 = ../../lib/techmap/maps/clkand.vhd
Project_File_P_242 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 49 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_243 = ../../lib/gaisler/leon3/mmutw.vhd
Project_File_P_243 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 137 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_244 = ../../lib/gaisler/leon3/cachemem.vhd
Project_File_P_244 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 129 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_File_245 = ../../lib/synplify/sim/synattr.vhd
Project_File_P_245 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to synplify compile_order 22 dont_compile 0 cover_stmt 1 vhdl_use93 93
Project_Sim_Count = 1
Project_Sim_0 = Simulation 1
Project_Sim_P_0 = Generics {} timing default -std_output {} +notimingchecks 0 -L {} selected_du {} -hazards 0 -sdf {} +acc {} ok 1 folder {Top Level} -absentisempty 0 +pulse_r {} OtherArgs {} -multisource_delay {} +pulse_e {} -coverage 0 -sdfnoerror 0 +plusarg {} -vital2.2b 0 -t ps additional_dus work.testbench -nofileshare 0 -noglitch 0 -wlf {} +no_pulse_msg 0 -assertfile {} -sdfnowarn 0 -Lf {} -std_input {}
Project_Folder_Count = 0
Echo_Compile_Output = 0
Save_Compile_Report = 1
Project_Opt_Count = 0
ForceSoftPaths = 0
ReOpenSourceFiles = 1
CloseSourceFiles = 1
ProjectStatusDelay = 5000
VERILOG_DoubleClick = Edit
VERILOG_CustomDoubleClick = 
SYSTEMVERILOG_DoubleClick = Edit
SYSTEMVERILOG_CustomDoubleClick = 
VHDL_DoubleClick = Edit
VHDL_CustomDoubleClick = 
PSL_DoubleClick = Edit
PSL_CustomDoubleClick = 
TEXT_DoubleClick = Edit
TEXT_CustomDoubleClick = 
SYSTEMC_DoubleClick = Edit
SYSTEMC_CustomDoubleClick = 
TCL_DoubleClick = Edit
TCL_CustomDoubleClick = 
MACRO_DoubleClick = Edit
MACRO_CustomDoubleClick = 
VCD_DoubleClick = Edit
VCD_CustomDoubleClick = 
SDF_DoubleClick = Edit
SDF_CustomDoubleClick = 
XML_DoubleClick = Edit
XML_CustomDoubleClick = 
LOGFILE_DoubleClick = Edit
LOGFILE_CustomDoubleClick = 
UCDB_DoubleClick = Edit
UCDB_CustomDoubleClick = 
EditorState = {tabbed horizontal 1} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/ulit.v 0 1} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/decode_pipe1.v 0 0} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/EXEC_stage.v 0 0} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/forward.v 0 0} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/core1.v 0 0} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/RF_stage1.v 0 0} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/RF_components1.v 0 0}
Project_Major_Version = 6
Project_Minor_Version = 3

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.