OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gleichmann/] [spi/] [spi_p.vhd] - Rev 2

Compare with Previous | Blame | View Log

library ieee;
use ieee.std_logic_1164.all;
 
package spi is
 
  component spi_xmit
    generic (
      data_width : integer := 16);
    port (
      clk_i      : in  std_ulogic;
      rst_i      : in  std_ulogic;
      data_i     : in  std_logic_vector(data_width-1 downto 0);
      CODEC_SDIN : out std_ulogic;
      CODEC_CS   : out std_ulogic);
  end component;
 
end spi;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.