OpenCores
URL https://opencores.org/ocsvn/mjpeg-decoder/mjpeg-decoder/trunk

Subversion Repositories mjpeg-decoder

[/] [mjpeg-decoder/] [trunk/] [mjpeg/] [implementation/] [jpeg_qt_sr_c_shift_ram_v8_0_xst_1.ngc] - Rev 8

Go to most recent revision | Compare with Previous | Blame | View Log

XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.4e
$c5x5>6339:;<h5;:HLSQQ<f4:0;2h57:HLSQQ<c4>0;2k57:NWWTPR=y5=1<3<4A108E44<I;80M>64BTQ\MK@H92I97NK<;BNH=>EHEDC_XHJ8;BPFEQCC92N87KJJ1:E0?BHC<2C%?974IOKWWQGSM>1GCJGLAM08J54<F8=0BHZXOSI2?U2<XHX_m6^FN^@VWLB_j2ZBBRLZSOCNA4=V>2YDY_MJ6:QQH40B<2^R\H?<;T2,bcYsgVyy`=2>>=3=56=R8&lmSyaPssn3848;:7;87X> fg]wkZuud96:21=1129V4*`aW}eTb><3<?5;743\:$jkQ{o^qqh4:56585=>5Z0.de[qiX{{f:0?033?30?P6(noUcR}}l3>0:9799:1^<"hi_um\wwj54:47>3?<;T2,bcYsgVyy`?2<>=1=56=R8&lmSyaPssn0818;97;87X> fg]wkZuud:6?21<1129V4*`aW}eTb<<5<?7;743\:$jkQ{o^qqh1:265;5=>5Z0.de[qiX{{f?08032?30?P6(noUcR}}l5>6:9599:1^<"hi_um\wwj24?47=3?<;T2,bcYsgVyy`829>=0=56=R8&lmSyaPssn6838;;7;87X> fg]wkZuud?6<21?1129V4*`aW}eTb9<6<?6;743\:$jkQ{o^qqh3:06595=?5Z0.de[qiX{{f7<32>>008Q5)anV~dS~|c<1<?6;753\:$jkQ{o^qqh9694:43n6[?/fgm[commUym` heo]tmaroS9W%eRjjpuj\cqY6?'cTbbzPmtnwmujbWflT=: f_egspmYjagxS<Qaou]nqirnxeoTckQ>7/k\v`aXoldT`ba[1_-mZgkdVedh|Pp`kqw+tfe1h0Y=!heo]em}ccW{kf"jka_vkgpm]7U'cThh~{h^ew[41)aVddxRczluksh`YhnV;<"dQkeqvk[hoi}zU:Sca{_lwoplvkmVemS<9!i^pfcZabfVfdc}U?]/k\eijXg}bn~R~nisq-v`a?j2_;#jka_gk{aaYuid$licQxievk_5[)aVnn|yfPgu]23+oXff~Taxb{iqnf[j`X9>$bSikti]nmkstW8UecyQbumvjticXgoU:;#gPrde\c`hXdfe{W<S!i^cohZis`lxT|lg}s/pbi=d<]9%licQiiygg[wgj&noeSzgktiY3Y+oXllzdRi{_05-mZhh|Vg~`ygld]lbZ70&`Uoi}zg_lkmqvY6WgeS`{cthroaZiaW8=%eR|jg^efjZjhgyQ:Q#gPamn\kqnbzVzje}!rde;f>S7'noeSkgwee]qeh(`mgU|eizg[1_-mZbbx}bTkyQ>7/k\jjrXe|fe}bj_nd\52(nWmo{xeQbiowp[4Yig}Ufyazfpmg\kcY6?'cT~hiPgdl\hjiwS;W%eRocl^mwl`tXxhcy#|nm9`8Q5)`mgUmeukk_scn*bciW~coxeU?]/k\``vs`VmS<9!i^llpZkrd}c{`hQ`f^34*lYcmy~cS`gaur]2[kisWdgxd~ce^me[41)aVxnkRijn^nlku]5U'cTmabPoujfvZvfa{y%~hi75:W3+bciWocsiiQ}al,dakYpam~cW=S!i^fftqnXo}U:;#gPnnv\ipjsayfnSbhP16,j[acw|aUfec{|_0]mkqYj}e~b|akPog]23+oXzlmTkh`Plnms_6[)aVlbblbPr`o-vdk?j2_;#jka_gk{aaYuid$licQxievk_4[)aVnn|yfPgu]23+oXff~Taxb{iqnf[j`X9>$bSikti]nmkstW8UecyQbumvjticXgoU:;#gPrde\c`hXdfe{W=S!i^cohZis`lxT|lg}s/pbi=d<]9%licQiiygg[wgj&noeSzgktiY2Y+oXllzdRi{_05-mZhh|Vg~`ygld]lbZ70&`Uoi}zg_lkmqvY6WgeS`{cthroaZiaW8=%eR|jg^efjZjhgyQ;Q#gPamn\kqnbzVzje}!rde;f>S7'noeSkgwee]qeh(`mgU|eizg[0_-mZbbx}bTkyQ>7/k\jjrXe|fe}bj_nd\52(nWmo{xeQbiowp[4Yig}Ufyazfpmg\kcY6?'cT~hiPgdl\hjiwS8W%eRocl^mwl`tXxhcy#|nm9`8Q5)`mgUmeukk_scn*bciW~coxeU>]/k\``vs`VmS<9!i^llpZkrd}c{`hQ`f^34*lYcmy~cS`gaur]2[kisWdgxd~ce^me[41)aVxnkRijn^nlku]6U'cTmabPoujfvZvfa{y%~hi7b:W3+bciWocsiiQ}al,dakYpam~cW<S!i^fftqnXo}U:;#gPnnv\ipjsayfnSbhP16,j[acw|aUfec{|_0]mkqYj}e~b|akPog]23+oXzlmTkh`Plnms_7[)aVkg`Ra{hdp\tdou{'xja5l4U1-dakYaaqooSob.fgm[roc|aQ:Q#gPddrwlZasW8=%eR``t^ovhqowdlUdjR?8.h]gauroWdcey~Q>_omw[hsk|`zgiRai_05-mZtboVmnbRb`oqY1Y+oXiefTcyfjr^rbmwu)zlm396[?/fgm[commUym` heo]tmaroS8W%eRjjpuj\cqY6?'cTbbzPmtnwmujbWflT=: f_egspmYjagxS<Qaou]nqirnxeoTckQ>7/k\v`aXoldT`ba[2_-mZ`nfhfT~lc!r`o;f>S7'noeSkgwee]qeh(`mgU|eizg[3_-mZbbx}bTkyQ>7/k\jjrXe|fe}bj_nd\52(nWmo{xeQbiowp[4Yig}Ufyazfpmg\kcY6?'cT~hiPgdl\hjiwS9W%eRocl^mwl`tXxhcy#|nm9`8Q5)`mgUmeukk_scn*bciW~coxeU=]/k\``vs`VmS<9!i^llpZkrd}c{`hQ`f^34*lYcmy~cS`gaur]2[kisWdgxd~ce^me[41)aVxnkRijn^nlku]7U'cTmabPoujfvZvfa{y%~hi7b:W3+bciWocsiiQ}al,dakYpam~cW?S!i^fftqnXo}U:;#gPnnv\ipjsayfnSbhP16,j[acw|aUfec{|_0]mkqYj}e~b|akPog]23+oXzlmTkh`Plnms_4[)aVkg`Ra{hdp\tdou{'xja5l4U1-dakYaaqooSob.fgm[roc|aQ9Q#gPddrwlZasW8=%eR``t^ovhqowdlUdjR?8.h]gauroWdcey~Q>_omw[hsk|`zgiRai_05-mZtboVmnbRb`oqY2Y+oXiefTcyfjr^rbmwu)zlm3n6[?/fgm[commUym` heo]tmaroS;W%eRjjpuj\cqY6?'cTbbzPmtnwmujbWflT=: f_egspmYjagxS<Qaou]nqirnxeoTckQ>7/k\v`aXoldT`ba[3_-mZgkdVedh|Pp`kqw+tfe1h0Y=!heo]em}ccW{kf"jka_vkgpm]5U'cThh~{h^ew[41)aVddxRczluksh`YhnV;<"dQkeqvk[hoi}zU:Sca{_lwoplvkmVemS<9!i^pfcZabfVfdc}U=]/k\eijXg}bn~R~nisq-v`a?=2_;#jka_gk{aaYuid$licQxievk_7[)aVnn|yfPgu]23+oXff~Taxb{iqnf[j`X9>$bSikti]nmkstW8UecyQbumvjticXgoU:;#gPrde\c`hXdfe{W>S!i^djjdjXzhg%~lc7b:W3+bciWocsiiQ}al,dakYpam~cW>S!i^fftqnXo}U:;#gPnnv\ipjsayfnSbhP16,j[acw|aUfec{|_0]mkqYj}e~b|akPog]23+oXzlmTkh`Plnms_5[)aVkg`Ra{hdp\tdou{'xja5l4U1-dakYaaqooSob.fgm[roc|aQ8Q#gPddrwlZasW8=%eR``t^ovhqowdlUdjR?8.h]gauroWdcey~Q>_omw[hsk|`zgiRai_05-mZtboVmnbRb`oqY3Y+oXiefTcyfjr^rbmwu)zlm3n6[?/fgm[commUym` heo]tmaroS:W%eRjjpuj\cqY6?'cTbbzPmtnwmujbWflT=: f_egspmYjagxS<Qaou]nqirnxeoTckQ>7/k\v`aXoldT`ba[0_-mZgkdVedh|Pp`kqw+tfe1h0Y=!heo]em}ccW{kf"jka_vkgpm]4U'cThh~{h^ew[41)aVddxRczluksh`YhnV;<"dQkeqvk[hoi}zU:Sca{_lwoplvkmVemS<9!i^pfcZabfVfdc}U>]/k\eijXg}bn~R~nisq-v`a?j2_;#jka_gk{aaYuid$licQxievk_6[)aVnn|yfPgu]23+oXff~Taxb{iqnf[j`X9>$bSikti]nmkstW8UecyQbumvjticXgoU:;#gPrde\c`hXdfe{W?S!i^cohZis`lxT|lg}s/pbi=d<]9%licQiiygg[wgj&noeSzgktiY0Y+oXllzdRi{_05-mZhh|Vg~`ygld]lbZ70&`Uoi}zg_lkmqvY6WgeS`{cthroaZiaW8=%eR|jg^efjZjhgyQ9Q#gPamn\kqnbzVzje}!rde;1>S7'noeSkgwee]qeh(`mgU|eizg[2_-mZbbx}bTkyQ>7/k\jjrXe|fe}bj_nd\52(nWmo{xeQbiowp[4Yig}Ufyazfpmg\kcY6?'cT~hiPgdl\hjiwS:W%eRhfn`n\vdk)zhg3n6[?/fgm[commUym` heo]tmaroS=W%eRjjpuj\cqY6?'cTbbzPmtnwmujbWflT=: f_egspmYjagxS<Qaou]nqirnxeoTckQ>7/k\v`aXoldT`ba[1_-mZgkdVedh|Pp`kqw+tfe1h0Y=!heo]em}ccW{kf"jka_vkgpm]3U'cThh~{h^ew[41)aVddxRczluksh`YhnV;<"dQkeqvk[hoi}zU:Sca{_lwoplvkmVemS<9!i^pfcZabfVfdc}U?]/k\eijXg}bn~R~nisq-v`a?j2_;#jka_gk{aaYuid$licQxievk_1[)aVnn|yfPgu]23+oXff~Taxb{iqnf[j`X9>$bSikti]nmkstW8UecyQbumvjticXgoU:;#gPrde\c`hXdfe{W<S!i^cohZis`lxT|lg}s/pbi=d<]9%licQiiygg[wgj&noeSzgktiY7Y+oXllzdRi{_05-mZhh|Vg~`ygld]lbZ70&`Uoi}zg_lkmqvY6WgeS`{cthroaZiaW8=%eR|jg^efjZjhgyQ:Q#gPamn\kqnbzVzje}!rde;f>S7'noeSkgwee]qeh(`mgU|eizg[5_-mZbbx}bTkyQ>7/k\jjrXe|fe}bj_nd\52(nWmo{xeQbiowp[4Yig}Ufyazfpmg\kcY6?'cT~hiPgdl\hjiwS;W%eRocl^mwl`tXxhcy#|nm9`8Q5)`mgUmeukk_scn*bciW~coxeU;]/k\``vs`VmS<9!i^llpZkrd}c{`hQ`f^34*lYcmy~cS`gaur]2[kisWdgxd~ce^me[41)aVxnkRijn^nlku]5U'cTmabPoujfvZvfa{y%~hi75:W3+bciWocsiiQ}al,dakYpam~cW9S!i^fftqnXo}U:;#gPnnv\ipjsayfnSbhP16,j[acw|aUfec{|_0]mkqYj}e~b|akPog]23+oXzlmTkh`Plnms_6[)aVlbblbPr`o-vdk?j2_;#jka_gk{aaYuid$licQxievk_0[)aVnn|yfPgu]23+oXff~Taxb{iqnf[j`X9>$bSikti]nmkstW8UecyQbumvjticXgoU:;#gPrde\c`hXdfe{W=S!i^cohZis`lxT|lg}s/pbi=d<]9%licQiiygg[wgj&noeSzgktiY6Y+oXllzdRi{_05-mZhh|Vg~`ygld]lbZ70&`Uoi}zg_lkmqvY6WgeS`{cthroaZiaW8=%eR|jg^efjZjhgyQ;Q#gPamn\kqnbzVzje}!rde;f>S7'noeSkgwee]qeh(`mgU|eizg[4_-mZbbx}bTkyQ>7/k\jjrXe|fe}bj_nd\52(nWmo{xeQbiowp[4Yig}Ufyazfpmg\kcY6?'cT~hiPgdl\hjiwS8W%eRocl^mwl`tXxhcy#|nm9`8Q5)`mgUmeukk_scn*bciW~coxeU:]/k\``vs`VmS<9!i^llpZkrd}c{`hQ`f^34*lYcmy~cS`gaur]2[kisWdgxd~ce^me[41)aVxnkRijn^nlku]6U'cTmabPoujfvZvfa{y%~hi7b:W3+bciWocsiiQ}al,dakYpam~cW8S!i^fftqnXo}U:;#gPnnv\ipjsayfnSbhP16,j[acw|aUfec{|_0]mkqYj}e~b|akPog]23+oXzlmTkh`Plnms_7[)aVkg`Ra{hdp\tdou{'xja5l4U1-dakYaaqooSob.fgm[roc|aQ>Q#gPddrwlZasW8=%eR``t^ovhqowdlUdjR?8.h]gauroWdcey~Q>_omw[hsk|`zgiRai_05-mZtboVmnbRb`oqY1Y+oXiefTcyfjr^rbmwu)zlm396[?/fgm[commUym` heo]tmaroS<W%eRjjpuj\cqY6?'cTbbzPmtnwmujbWflT=: f_egspmYjagxS<Qaou]nqirnxeoTckQ>7/k\v`aXoldT`ba[2_-mZ`nfhfT~lc!r`o;f>S7'noeSkgwee]qeh(`mgU|eizg[7_-mZbbx}bTkyQ>7/k\jjrXe|fe}bj_nd\52(nWmo{xeQbiowp[4Yig}Ufyazfpmg\kcY6?'cT~hiPgdl\hjiwS9W%eRocl^mwl`tXxhcy#|nm9`8Q5)`mgUmeukk_scn*bciW~coxeU9]/k\``vs`VmS<9!i^llpZkrd}c{`hQ`f^34*lYcmy~cS`gaur]2[kisWdgxd~ce^me[41)aVxnkRijn^nlku]7U'cTmabPoujfvZvfa{y%~hi7b:W3+bciWocsiiQ}al,dakYpam~cW;S!i^fftqnXo}U:;#gPnnv\ipjsayfnSbhP16,j[acw|aUfec{|_0]mkqYj}e~b|akPog]23+oXzlmTkh`Plnms_4[)aVkg`Ra{hdp\tdou{'xja5l4U1-dakYaaqooSob.fgm[roc|aQ=Q#gPddrwlZasW8=%eR``t^ovhqowdlUdjR?8.h]gauroWdcey~Q>_omw[hsk|`zgiRai_05-mZtboVmnbRb`oqY2Y+oXiefTcyfjr^rbmwu)zlm3n6[?/fgm[commUym` heo]tmaroS?W%eRjjpuj\cqY6?'cTbbzPmtnwmujbWflT=: f_egspmYjagxS<Qaou]nqirnxeoTckQ>7/k\v`aXoldT`ba[3_-mZgkdVedh|Pp`kqw+tfe1h0Y=!heo]em}ccW{kf"jka_vkgpm]1U'cThh~{h^ew[41)aVddxRczluksh`YhnV;<"dQkeqvk[hoi}zU:Sca{_lwoplvkmVemS<9!i^pfcZabfVfdc}U=]/k\eijXg}bn~R~nisq-v`a?=2_;#jka_gk{aaYuid$licQxievk_3[)aVnn|yfPgu]23+oXff~Taxb{iqnf[j`X9>$bSikti]nmkstW8UecyQbumvjticXgoU:;#gPrde\c`hXdfe{W>S!i^djjdjXzhg%~lc7b:W3+bciWocsiiQ}al,dakYpam~cW:S!i^fftqnXo}U:;#gPnnv\ipjsayfnSbhP16,j[acw|aUfec{|_0]mkqYj}e~b|akPog]23+oXzlmTkh`Plnms_5[)aVkg`Ra{hdp\tdou{'xja5l4U1-dakYaaqooSob.fgm[roc|aQ<Q#gPddrwlZasW8=%eR``t^ovhqowdlUdjR?8.h]gauroWdcey~Q>_omw[hsk|`zgiRai_05-mZtboVmnbRb`oqY3Y+oXiefTcyfjr^rbmwu)zlm3n6[?/fgm[commUym` heo]tmaroS>W%eRjjpuj\cqY6?'cTbbzPmtnwmujbWflT=: f_egspmYjagxS<Qaou]nqirnxeoTckQ>7/k\v`aXoldT`ba[0_-mZgkdVedh|Pp`kqw+tfe1h0Y=!heo]em}ccW{kf"jka_vkgpm]0U'cThh~{h^ew[41)aVddxRczluksh`YhnV;<"dQkeqvk[hoi}zU:Sca{_lwoplvkmVemS<9!i^pfcZabfVfdc}U>]/k\eijXg}bn~R~nisq-v`a?j2_;#jka_gk{aaYuid$licQxievk_2[)aVnn|yfPgu]23+oXff~Taxb{iqnf[j`X9>$bSikti]nmkstW8UecyQbumvjticXgoU:;#gPrde\c`hXdfe{W?S!i^cohZis`lxT|lg}s/pbi=d<]9%licQiiygg[wgj&noeSzgktiY4Y+oXllzdRi{_05-mZhh|Vg~`ygld]lbZ70&`Uoi}zg_lkmqvY6WgeS`{cthroaZiaW8=%eR|jg^efjZjhgyQ9Q#gPamn\kqnbzVzje}!rde;1>S7'noeSkgwee]qeh(`mgU|eizg[6_-mZbbx}bTkyQ>7/k\jjrXe|fe}bj_nd\52(nWmo{xeQbiowp[4Yig}Ufyazfpmg\kcY6?'cT~hiPgdl\hjiwS:W%eRhfn`n\vdk)zhg:?6[?/rpo[qiXno:7=32?>018Q5)tzeUcRhi0=3=8486;2_;#~|c_um\bc6;97692<=4U1-pviYsgVlm=1<1<1<27>S7'zxgSyaPfg3?6;:66890Y=!|rm]wkZ`a95850?0>3:W3+vtkW}eTjk<33?>3:45<]9%x~aQ{o^de6959484:?6[?/rpo[qiXno87?32=>018Q5)tzeUcRhi3=6=8586;2_;#~|c_um\bc5;<76:2<=4U1-pviYsgVlm?1:1<3<27>S7'zxgSyaPfg6?1;:76890Y=!|rm]wkZ`a<5?50<0>3:W3+vtkW}eTjk:35?>1:45<]9%x~aQ{o^de1909494:?6[?/rpo[qiXno?7:32>>018Q5)tzeUcRhi5=4=8786;2_;#~|c_um\bc0;?76;2<=4U1-pviYsgVlm:191<0<27>S7'zxgSyaPfg4?3;:56880Y=!|rm]wkZ`a4947<3?=;T2,wwjX|fUmj1>1<0<26>S7'zxgSyaPfg>3:949k2_XI_QYIRKAH@5<^JI27[GJW^VZT@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0<PZ^GEO94XRV\CKB03QY_S[MLb:Z\GJTBW@DMC;5Wdc]J`46<PmgTAld`rWgqwlii991Sh`QBiomqR`ttafd87U}{4:c?4;2<i5;586o32?48e95=87>0m1=14:c`hw3<i`dbx95nsdv15>eX{acmxR|nm^t;[5*'Kfxnkh`/8/0-46o53jo87nbd4:f?4;2<l5;586j32?68`959<2n783:4d=7=0>b;>7<0h1950?68`919:81a|hiPqu]pvZeX{acmxR|nm^t;[5Y{}U:8630?68u979<2{7>3:4q=1=0>w;<7>0}1;14:s?2;0<y5=1<3:4q=5=0>udd{?0d`ft59pw`r03qi9z}=?}ABs61><HIrj57H52;3xW`<><3;86<==660:>731<?qe5?4>;o;0>1=#1803o6s\d;;7>45=9:8=;?7524473>bf13:1=7?tSd8:0?742899::<6:37503=q\8o1<7?51;67Vc=1=0:?7?<2751=?42>=<0(5l5149'5d<e>2hj57>51b80e?23sS31?v<53;69y!472h30D574$829=a=n1o0;66g6c;29?l?b2900e4o50;9j=g<722ci87>5;h`6>5<<ak91<75f9883>!7d2020b<l50:9j=2<72-;h6464n0`95>=n1?0;6)?l:8:8j4d=:21b584?:%3`><><f8h1?65fa983>!7d2h=0b<l50:9je3<72-;h6l94n0`95>=ni<0;6)?l:`58j4d=:21bm94?:%3`>d1<f8h1?65fa283>!7d2h=0b<l54:9je7<72-;h6l94n0`91>=ni80;6)?l:`58j4d=>21bm=4?:%3`>d1<f8h1;65`b383>!7d2k;0b<l50:9lf5<72-;h6o?4n0`95>=hio0;6)?l:c38j4d=:21dmh4?:%3`>g7<f8h1?65`ae83>!7d2k;0b<l54:9lef<72-;h6o?4n0`91>=hik0;6)?l:c38j4d=>21dml4?:%3`>g7<f8h1;65m8d83>4<729q/>=4>2:J;=>N?l2e:=7>5;|`;b?6=93:1<v*=0;:b?M>>3A2o7b?6:188yg5f290?6=4?{%03>46<@130D5j4$0694>oa2900eo4?::kb>5<<g8n1<75rb2a94?2=83:p(?>5119K<<=O0m1/=94?;hd94?=nj3:17do50;9l5a<722wi?h4?:583>5}#:90:<6F79:J;`>"6<3:0ek4?::ka>5<<ah0;66a>d;29?xd393:187>50z&14?773A227E6k;%37>5=nn3:17dl50;9je?6=3f;o6=44}c60>5<3290;w)<?:028L=?<@1n0(<:50:ke>5<<ak0;66gn:188k4b=831vn9;50;694?6|,;:1==5G889K<a=#9=0;7dh50;9jf?6=3`k1<75`1e83>>{e<10;694?:1y'65<682B356F7d:&20?6<ao0;66gm:188md<722e:h7>5;|`7e?6=<3:1<v*=0;33?M>>3A2o7)?;:19jb?6=3`h1<75fa;29?j7c2900qo:l:187>5<7s-8;6<>4H9;8L=b<,8>1<6gi:188mg<722cj6=44o0f94?=zj=l1<7:50;2x 76=991C445G8e9'51<73`l1<75fb;29?lg=831d=i4?::a14<72=0;6=u+21824>N?12B3h6*>4;28mc<722ci6=44i`83>>i6l3:17pl:3;290?6=8r.9<7??;I::?M>c3-;?6=5ff;29?ld=831bm7>5;n3g>5<<uk?=6=4;:183!4728:0D574H9f8 42=82cm6=44ic83>>of2900c<j50;9~f0>=83>1<7>t$32955=O001C4i5+1583?l`=831bn7>5;hc94?=h9m0;66sm5`83>1<729q/>=4>0:J;=>N?l2.:87>4ig83>>oe2900el4?::m2`?6=3th>h7>54;294~"583;;7E66;I:g?!73291bj7>5;h`94?=ni3:17b?k:188yg3a290?6=4?{%03>46<@130D5j4$0694>oa2900eo4?::kb>5<<g8n1<75rb7394?2=83:p(?>5119K<<=O0m1/=94?;hd94?=nj3:17do50;9l5a<722wi:94?:583>5}#:90:<6F79:J;`>"6<3:0ek4?::ka>5<<ah0;66a>d;29?xd1>3:187>50z&14?773A227E6k;%37>5=nn3:17dl50;9je?6=3f;o6=44}c4;>5<3290;w)<?:028L=?<@1n0(<:50:ke>5<<ak0;66gn:188k4b=831vn;l50;694?6|,;:1==5G889K<a=#9=0;7dh50;9jf?6=3`k1<75`1e83>>{e>m0;694?:1y'65<682B356F7d:&20?6<ao0;66gm:188md<722e:h7>5;|`5b?6=<3:1<v*=0;33?M>>3A2o7)?;:19jb?6=3`h1<75fa;29?j7c2900qo=6:18;>5<7s-8;6<h4H9;8L=b<,8>1=6g::188m3<722c<6=44i983>>oe2900en4?::ke>5<<g8n1<75rb2`94?>=83:p(?>51g9K<<=O0m1/=94>;h794?=n>3:17d950;9j<?6=3`h1<75fc;29?l`=831d=i4?::a7a<7210;6=u+2182b>N?12B3h6*>4;38m0<722c=6=44i683>>o?2900eo4?::k`>5<<ao0;66a>d;29?xd4n3:147>50z&14?7a3A227E6k;%37>4=n=3:17d850;9j3?6=3`21<75fb;29?le=831bj7>5;n3g>5<<uk>;6=47:183!4728l0D574H9f8 42=92c>6=44i783>>o02900e54?::ka>5<<aj0;66gi:188k4b=831vn9<50;:94?6|,;:1=k5G889K<a=#9=0:7d;50;9j2?6=3`=1<75f8;29?ld=831bo7>5;hd94?=h9m0;66sm4583>=<729q/>=4>f:J;=>N?l2.:87?4i483>>o12900e:4?::k;>5<<ak0;66gl:188mc<722e:h7>5;|`72?6=03:1<v*=0;3e?M>>3A2o7)?;:09j1?6=3`<1<75f7;29?l>=831bn7>5;ha94?=nn3:17b?k:188yg2029036=4?{%03>4`<@130D5j4$0695>o22900e;4?::k4>5<<a10;66gm:188mf<722cm6=44o0f94?=zj=31<7650;2x 76=9o1C445G8e9'51<63`?1<75f6;29?l1=831b47>5;h`94?=nk3:17dh50;9l5a<722wi8o4?:983>5}#:90:j6F79:J;`>"6<3;0e84?::k5>5<<a>0;66g7:188mg<722ch6=44ig83>>i6l3:17pl;d;29<?6=8r.9<7?i;I::?M>c3-;?6<5f5;29?l0=831b;7>5;h:94?=nj3:17dm50;9jb?6=3f;o6=44}c6f>5<?290;w)<?:0d8L=?<@1n0(<:51:k6>5<<a?0;66g8:188m=<722ci6=44ib83>>oa2900c<j50;9~f06=8321<7>t$3295c=O001C4i5+1582?l3=831b:7>5;h594?=n03:17dl50;9jg?6=3`l1<75`1e83>>{e=;0;654?:1y'65<6n2B356F7d:&20?7<a<0;66g9:188m2<722c36=44ic83>>od2900ek4?::m2`?6=3th>87>58;294~"583;m7E66;I:g?!73281b97>5;h494?=n?3:17d650;9jf?6=3`i1<75ff;29?j7c2900qo;::18;>5<7s-8;6<h4H9;8L=b<,8>1=6g::188m3<722c<6=44i983>>oe2900en4?::ke>5<<g8n1<75rb4594?>=83:p(?>51g9K<<=O0m1/=94>;h794?=n>3:17d950;9j<?6=3`h1<75fc;29?l`=831d=i4?::a1<<7210;6=u+2182b>N?12B3h6*>4;38m0<722c=6=44i683>>o?2900eo4?::k`>5<<ao0;66a>d;29?xd2j3:147>50z&14?7a3A227E6k;%37>4=n=3:17d850;9j3?6=3`21<75fb;29?le=831bj7>5;n3g>5<<uk?h6=47:183!4728l0D574H9f8 42=92c>6=44i783>>o02900e54?::ka>5<<aj0;66gi:188k4b=831vn8k50;:94?6|,;:1=k5G889K<a=#9=0:7d;50;9j2?6=3`=1<75f8;29?ld=831bo7>5;hd94?=h9m0;66sm6183>=<729q/>=4>f:J;=>N?l2.:87?4i483>>o12900e:4?::k;>5<<ak0;66gl:188mc<722e:h7>5;|`56?6=03:1<v*=0;3e?M>>3A2o7)?;:09j1?6=3`<1<75f7;29?l>=831bn7>5;ha94?=nn3:17b?k:188yg0429036=4?{%03>4`<@130D5j4$0695>o22900e;4?::k4>5<<a10;66gm:188mf<722cm6=44o0f94?=zj??1<7650;2x 76=9o1C445G8e9'51<63`?1<75f6;29?l1=831b47>5;h`94?=nk3:17dh50;9l5a<722wi::4?:983>5}#:90:j6F79:J;`>"6<3;0e84?::k5>5<<a>0;66g7:188mg<722ch6=44ig83>>i6l3:17pl99;29<?6=8r.9<7?i;I::?M>c3-;?6<5f5;29?l0=831b;7>5;h:94?=nj3:17dm50;9jb?6=3f;o6=44}c4b>5<?290;w)<?:0d8L=?<@1n0(<:51:k6>5<<a?0;66g8:188m=<722ci6=44ib83>>oa2900c<j50;9~f3e=8321<7>t$3295c=O001C4i5+1582?l3=831b:7>5;h594?=n03:17dl50;9jg?6=3`l1<75`1e83>>{e>l0;654?:1y'65<6n2B356F7d:&20?7<a<0;66g9:188m2<722c36=44ic83>>od2900ek4?::m2`?6=3th<<7>58;294~"583;m7E66;I:g?!73281b97>5;h494?=n?3:17d650;9jf?6=3`i1<75ff;29?j7c2900q~7i:180=~X>n278m7o4=2a9e>;4m3k019?5a:?77?g<5=?1m63;8;c891g=i27?o7o4=5d9e>;293k018=5a:?62?g<5<21m63:a;c890b=i27>j7o4=739e>;1<3k01;85a:?5<?g<5?h1m639d;c893`=i27857m4=2`9g>;4l3i01>h5c:?74?e<5=81o63;4;a8910=k27?;7m4=5;9g>;3j3i019j5c:?7a?e<5<:1o63:2;a8902=k27>97m4=459g>;213i018l5c:?6g?e<5<o1o6390;a8934=k27=?7m4=779g>;1?3i01;75c:?5e?e<5?i1o639e;a8926=k2wx5h4?:2;xZ<c<5:k1n63<c;`896c=j27?=7l4=519f>;3=3h01965b:?7e?d<5=i1n63;f;`8907=j27>?7l4=449f>;203h018o5b:?6`?d<5<l1n6391;`8932=j27=:7l4=7:9f>;1j3h01;j5b:?5b?d<5:31n63<b;`896b=j278j7l4=529f>;3:3h019:5b:?72?d<5==1n63;9;`891d=j27?h7l4=5g9f>;283h018<5b:?60?d<5<?1n63:7;`890?=j27>n7l4=4a9f>;2m3h01;>5b:?56?d<5?91n6395;`8931=j27=57l4=7c9f>;1k3h01;k5b:?44?d<uzh96=4={_`1?81728n0q~l?:181[d734<26<j4}rce>5<5sWkm708=:0f8yvgb2909wSoj;<7a>4b<uzko6=4={_cg?83328n0q~ol:181[gd34>o6<j4}rca>5<5sWki70:9:0f8yvgf2909wSon;<1e>4b<uzk36=4={_c;?80f2o1vl850;0xZd0<5?91j6s|a483>7}Yi<169n4i;|qb0?6=:rTj863:5;d8yvg42909wSo<;<6f>c=z{h81<7<t^`08911=n2wxm<4?:3y]e4=:<90m7p}n0;296~Xf827857h4}r35>5<69r73i7?>;<1e>0=:;o0=70:9:49>03<134>o68524e85?8332<169949;<7a>0=:=k0=708=:49>27<134<268526885?8172<16;=49;|q23?6=?8q64k4>9:?0=?3<5:31:63<9;5896?=0278n7;4=2`92>;4j3=01>l58:?0`?3<5:n1:63<d;5896b=0278j794=2d9<>;383?019>56:?74?1<5=:1463;2;78914=>27?>794=509<>;3<3?019:56:?70?1<5=>1463;6;58910=027?;7;4=5592>;3?3=019958:?7=?3<5=31:63;9;5891?=027?n7;4=5`92>;3j3=019l58:?7`?1<5=n1463;e;7891c=>27?i794=5g9<>;283?018>56:?64?1<5<:1463:2;78904=>27>>794=409<>;2<3=018:58:?61?3<5<?1:63:5;58903=027>;7;4=4592>;2?3=018958:?6=?3<5<31:63:9;5890?=027>n794=4`9<>;2k3?018m56:?6g?1<5<i1463:e;7890c=>27>i794=4g9<>;183?01;>56:?54?1<5?:146392;58934=027=?7;4=7192>;1;3=01;=58:?51?3<5??1:6395;58933=027=;7;4=7592>;1?3=01;958:?5=?1<5?314639a;7893g=>27=m794=7c9<>;1k3?01;m56:?5g?1<5?i14639e;7893c=>27=i794=7g9<>;083=01:>58:p62<72;q68k4>d:?64?`<uz836=4={<72>4b<5<81j6s|2883>7}:=:0:h63:4;d8yv4f2909w0;9:0f8901=n2wx>o4?:3y>1=<6l27>57h4}r0`>5<5s4?j6<j4=4`9b>{t:m0;6?u25e82`>;2m3l0q~<j:18183a28n01;>5f:p6c<72;q6:<4>d:?56?`<uz9;6=4={<47>4b<5??1j6s|3083>7}:>?0:h6397;d8yv552909w087:0f893?=n2wx><4?:3y>04<6l27?>7h4}r01>5<5s4>86<j4=569b>{t::0;6?u24482`>;3>3l0q~=<:18180e28n01;m5f:p71<72;q6:i4>d:?5a?`<uz9>6=4={<4e>4b<5>:1j6s|2583>7}:<10:h63;9;d8yv422909w0:n:0f891d=n2wx>;4?:3y>0f<6l27?h7h4}r15>5<5s49j6<j4=2`9b>{t;>0;6?u23b82`>;4l3l0q~=7:18185b28n01>h5f:p<3<72;q6?l4i;<1:>4b<uz2<6=4={<1`>c=:;k0:h6s|8983>7}:;l0m70=k:0f8yv162909w0:>:g9>05<6l2wx;?4?:3y>06<a34>96<j4}r50>5<5s4>>6k524582`>{t?=0;6?u2498e?82028n0q~9::18182f2o16844>d:p33<72;q68n4i;<6a>4b<uz=<6=4={<6e>c=:<l0:h6s|7983>7}:=80m70;?:0f8yv1>2909w0;<:g9>17<6l2wx;l4?:3y>13<a34?>6<j4}r5a>5<5s4?36k525682`>{t?j0;6?u25`8e?83>28n0q~9k:18183c2o169n4>d:p3`<72;q69k4i;<7f>4b<uz=m6=4={<42>c=:>90:h6s|8183>7}:>=0m708<:0f8yv>62909w089:g9>20<6l2wx4?4?:3y>2=<a34<<6<j4}r:0>5<5s4<i6k526`82`>{t0=0;6?u26e8e?80d28n0q~6::18180a2o16:h4>d:~j4e7290:wE66;|l2g4<728qC445rn0a1>5<6sA227p`>c283>4}O001vb<m;:182M>>3td:o84?:0yK<<=zf8i=6=4>{I::?xh6k>0;6<uG889~j4e?290:wE66;|l2g<<728qC445rn0ab>5<6sA227p`>cc83>4}O001vb<ml:182M>>3td:oi4?:0yK<<=zf8in6=4>{I::?xh6ko0;6<uG889~j4b7290:wE66;|l2`4<728qC445rn0f1>5<6sA227p`>d283>4}O001vb<j;:182M>>3td:h84?:0yK<<=zf8n=6=4>{I::?xh6l>0;6<uG889~j4b?290:wE66;|l2`<<728qC445rn0fb>5<6sA227p`>dc83>4}O001vb<jl:182M>>3td:hi4?:0yK<<=zf8nn6=4>{I::?xh6lo0;6<uG889~j4c7290:wE66;|l2a4<728qC445rn0g1>5<6sA227p`>e283>4}O001vb<k;:182M>>3td:i84?:0yK<<=zf8o=6=4>{I::?xh6m>0;6<uG889~j4c?290:wE66;|l2a<<728qC445rn0gb>5<6sA227p`>ec83>4}O001vb<kl:182M>>3td:ii4?:0yK<<=zf8on6=4>{I::?xh6mo0;6<uG889~j4`7290:wE66;|l2b4<728qC445rn0d1>5<6sA227p`>f283>4}O001vb<h;:182M>>3td:j84?:0yK<<=zf8l=6=4>{I::?xh6n>0;6<uG889~j4`?290:wE66;|l2b<<728qC445rn0db>5<6sA227p`>fc83>4}O001vb<hl:182M>>3td:ji4?:0yK<<=zf8ln6=4>{I::?xh6no0;6<uG889~j767290:wE66;|l144<728qC445rn321>5<6sA227p`=0283>4}O001vqpsO@By10=<309o;85jr@A@x4xFGXrwKL

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.