OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [DE0_NANO_SOC_ADC/] [DE0_NANO_SOC_QSYS/] [synthesis/] [DE0_NANO_SOC_QSYS.debuginfo] - Rev 221

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<EnsembleReport name="DE0_NANO_SOC_QSYS" kind="system" version="14.0" fabric="QSYS">
 <!-- Format version 14.0 209 (Future versions may contain additional information.) -->
 <!-- 2014.12.18.15:53:20 -->
 <!-- A collection of modules and connections -->
 <parameter name="clockCrossingAdapter">
  <type>com.altera.sopcmodel.ensemble.EClockAdapter</type>
  <value>HANDSHAKE</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>true</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="device">
  <type>java.lang.String</type>
  <value>5CSEMA4U23C6</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>true</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="deviceFamily">
  <type>java.lang.String</type>
  <value>CYCLONEV</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>true</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="deviceSpeedGrade">
  <type>java.lang.String</type>
  <value>6</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>false</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="fabricMode">
  <type>com.altera.sopcmodel.ensemble.Ensemble$EFabricMode</type>
  <value>QSYS</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>false</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="generateLegacySim">
  <type>boolean</type>
  <value>false</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>false</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="generationId">
  <type>int</type>
  <value>1418889131</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>true</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="globalResetBus">
  <type>boolean</type>
  <value>false</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>false</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="hdlLanguage">
  <type>com.altera.entityinterfaces.moduleext.IModuleGenerateHDL$HDLLanguage</type>
  <value>VERILOG</value>
  <derived>false</derived>
  <enabled>false</enabled>
  <visible>false</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="hideFromIPCatalog">
  <type>boolean</type>
  <value>false</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>true</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="maxAdditionalLatency">
  <type>int</type>
  <value>1</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>true</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="projectName">
  <type>java.lang.String</type>
  <value>DE2_SoC_ADC.qpf</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>false</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="sopcBorderPoints">
  <type>boolean</type>
  <value>false</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>false</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="systemHash">
  <type>long</type>
  <value>0</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>false</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="testBenchDutName">
  <type>java.lang.String</type>
  <value></value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>false</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="timeStamp">
  <type>long</type>
  <value>0</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>false</visible>
  <valid>true</valid>
 </parameter>
 <parameter name="useTestBenchNamingPattern">
  <type>boolean</type>
  <value>false</value>
  <derived>false</derived>
  <enabled>true</enabled>
  <visible>false</visible>
  <valid>true</valid>
 </parameter>
 <module name="clk_50" kind="clock_source" version="14.0" path="clk_50">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <parameter name="clockFrequency">
   <type>long</type>
   <value>50000000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="clockFrequencyKnown">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="inputClockFrequency">
   <type>long</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>CLOCK_RATE</sysinfo_type>
   <sysinfo_arg>clk_in</sysinfo_arg>
  </parameter>
  <parameter name="resetSynchronousEdges">
   <type>com.altera.sopcmodel.reset.Reset$Edges</type>
   <value>NONE</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="clk_in" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <assignment>
    <name>qsys.ui.export_name</name>
    <value>clk</value>
   </assignment>
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>java.lang.Boolean</type>
    <value>true</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>java.lang.Long</type>
    <value>50000000</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>in_clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="clk_in_reset" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <assignment>
    <name>qsys.ui.export_name</name>
    <value>reset</value>
   </assignment>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>NONE</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>reset_n</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset_n</role>
   </port>
  </interface>
  <interface name="clk" kind="clock_source" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedDirectClock">
    <type>java.lang.String</type>
    <value>clk_in</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>long</type>
    <value>50000000</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>true</isStart>
   <port>
    <name>clk_out</name>
    <direction>Output</direction>
    <width>1</width>
    <role>clk</role>
   </port>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>pll_sys</moduleName>
    <slaveName>refclk</slaveName>
    <name>pll_sys.refclk</name>
   </clockDomainMember>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>rst_controller_002</moduleName>
    <slaveName>clk</slaveName>
    <name>rst_controller_002.clk</name>
   </clockDomainMember>
  </interface>
  <interface name="clk_reset" kind="reset_source" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedDirectReset">
    <type>java.lang.String</type>
    <value>clk_in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedResetSinks">
    <type>[Ljava.lang.String;</type>
    <value>clk_in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>NONE</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>true</isStart>
   <port>
    <name>reset_n_out</name>
    <direction>Output</direction>
    <width>1</width>
    <role>reset_n</role>
   </port>
  </interface>
 </module>
 <module
   name="nios2_qsys"
   kind="altera_nios2_qsys"
   version="14.0"
   path="nios2_qsys">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <assignment>
   <name>debug.hostConnection</name>
   <value>type jtag id 70:34|110:135</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.BIG_ENDIAN</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.BREAK_ADDR</name>
   <value>0x00080820</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.CPU_FREQ</name>
   <value>100000000u</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.CPU_ID_SIZE</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.CPU_ID_VALUE</name>
   <value>0x00000000</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.CPU_IMPLEMENTATION</name>
   <value>"fast"</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.DATA_ADDR_WIDTH</name>
   <value>20</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.DCACHE_LINE_SIZE</name>
   <value>32</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2</name>
   <value>5</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.DCACHE_SIZE</name>
   <value>2048</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.EXCEPTION_ADDR</name>
   <value>0x00040020</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.FLUSHDA_SUPPORTED</name>
   <value></value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.HARDWARE_MULX_PRESENT</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.HAS_DEBUG_CORE</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.HAS_DEBUG_STUB</name>
   <value></value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.HAS_JMPI_INSTRUCTION</name>
   <value></value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.ICACHE_LINE_SIZE</name>
   <value>32</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2</name>
   <value>5</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.ICACHE_SIZE</name>
   <value>4096</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.INITDA_SUPPORTED</name>
   <value></value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.INST_ADDR_WIDTH</name>
   <value>20</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.NUM_OF_SHADOW_REG_SETS</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.RESET_ADDR</name>
   <value>0x00040000</value>
  </assignment>
  <assignment>
   <name>embeddedsw.configuration.HDLSimCachesCleared</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.configuration.breakOffset</name>
   <value>32</value>
  </assignment>
  <assignment>
   <name>embeddedsw.configuration.breakSlave</name>
   <value>nios2_qsys.jtag_debug_module</value>
  </assignment>
  <assignment>
   <name>embeddedsw.configuration.cpuArchitecture</name>
   <value>Nios II</value>
  </assignment>
  <assignment>
   <name>embeddedsw.configuration.exceptionOffset</name>
   <value>32</value>
  </assignment>
  <assignment>
   <name>embeddedsw.configuration.exceptionSlave</name>
   <value>onchip_memory2.s1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.configuration.resetOffset</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.configuration.resetSlave</name>
   <value>onchip_memory2.s1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.compatible</name>
   <value>altr,nios2-1.0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.group</name>
   <value>cpu</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.name</name>
   <value>nios2</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.ALTR,exception-addr</name>
   <value>0x00040020</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.ALTR,has-initda</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.ALTR,has-mul</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.ALTR,implementation</name>
   <value>"fast"</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.ALTR,reset-addr</name>
   <value>0x00040000</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.clock-frequency</name>
   <value>100000000u</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.dcache-line-size</name>
   <value>32</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.dcache-size</name>
   <value>2048</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.icache-line-size</name>
   <value>32</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.icache-size</name>
   <value>4096</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.vendor</name>
   <value>altr</value>
  </assignment>
  <parameter name="setting_showUnpublishedSettings">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_showInternalSettings">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_preciseSlaveAccessErrorException">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_preciseIllegalMemAccessException">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_preciseDivisionErrorException">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_performanceCounter">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_illegalMemAccessDetection">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_illegalInstructionsTrap">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_fullWaveformSignals">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_extraExceptionInfo">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_exportPCB">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_debugSimGen">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_clearXBitsLDNonBypass">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_bit31BypassDCache">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_bigEndian">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_export_large_RAMs">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_asic_enabled">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_asic_synopsys_translate_on_off">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_oci_export_jtag_signals">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_bhtIndexPcOnly">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_avalonDebugPortPresent">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_alwaysEncrypt">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_allowFullAddressRange">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_activateTrace">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_activateTrace_user">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_activateTestEndChecker">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_ecc_sim_test_ports">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_activateMonitors">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_activateModelChecker">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_HDLSimCachesCleared">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_HBreakTest">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_breakslaveoveride">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="muldiv_divider">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mpu_useLimit">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mpu_enabled">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mmu_enabled">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mmu_autoAssignTlbPtrSz">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="manuallyAssignCpuID">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="debug_triggerArming">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="debug_embeddedPLL">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="debug_debugReqSignals">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="debug_assignJtagInstanceID">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="dcache_omitDataMaster">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="cpuReset">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="resetrequest_enabled">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_removeRAMinit">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_shadowRegisterSets">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mpu_numOfInstRegion">
   <type>int</type>
   <value>8</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mpu_numOfDataRegion">
   <type>int</type>
   <value>8</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mmu_TLBMissExcOffset">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="debug_jtagInstanceID">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="resetOffset">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="exceptionOffset">
   <type>int</type>
   <value>32</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="cpuID">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="cpuID_stored">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="breakOffset">
   <type>int</type>
   <value>32</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="userDefinedSettings">
   <type>java.lang.String</type>
   <value></value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="resetSlave">
   <type>java.lang.String</type>
   <value>onchip_memory2.s1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mmu_TLBMissExcSlave">
   <type>java.lang.String</type>
   <value>None</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="exceptionSlave">
   <type>java.lang.String</type>
   <value>onchip_memory2.s1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="breakSlave">
   <type>java.lang.String</type>
   <value>nios2_qsys.jtag_debug_module</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_perfCounterWidth">
   <type>int</type>
   <value>32</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_interruptControllerType">
   <type>java.lang.String</type>
   <value>Internal</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_branchPredictionType">
   <type>java.lang.String</type>
   <value>Automatic</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_bhtPtrSz">
   <type>int</type>
   <value>8</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="muldiv_multiplierType">
   <type>java.lang.String</type>
   <value>EmbeddedMulFast</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mpu_minInstRegionSize">
   <type>int</type>
   <value>12</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mpu_minDataRegionSize">
   <type>int</type>
   <value>12</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mmu_uitlbNumEntries">
   <type>int</type>
   <value>4</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mmu_udtlbNumEntries">
   <type>int</type>
   <value>6</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mmu_tlbPtrSz">
   <type>int</type>
   <value>7</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mmu_tlbNumWays">
   <type>int</type>
   <value>16</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mmu_processIDNumBits">
   <type>int</type>
   <value>8</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="impl">
   <type>java.lang.String</type>
   <value>Fast</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="icache_size">
   <type>int</type>
   <value>4096</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="icache_tagramBlockType">
   <type>java.lang.String</type>
   <value>Automatic</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="icache_ramBlockType">
   <type>java.lang.String</type>
   <value>Automatic</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="icache_numTCIM">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="icache_burstType">
   <type>java.lang.String</type>
   <value>None</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="dcache_bursts">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="dcache_victim_buf_impl">
   <type>java.lang.String</type>
   <value>ram</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="debug_level">
   <type>java.lang.String</type>
   <value>Level1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="debug_OCIOnchipTrace">
   <type>java.lang.String</type>
   <value>_128</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="dcache_size">
   <type>int</type>
   <value>2048</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="dcache_tagramBlockType">
   <type>java.lang.String</type>
   <value>Automatic</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="dcache_ramBlockType">
   <type>java.lang.String</type>
   <value>Automatic</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="dcache_numTCDM">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="dcache_lineSize">
   <type>int</type>
   <value>32</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_exportvectors">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_ecc_present">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_ic_ecc_present">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_rf_ecc_present">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_mmu_ecc_present">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_dc_ecc_present">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_itcm_ecc_present">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="setting_dtcm_ecc_present">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="regfile_ramBlockType">
   <type>java.lang.String</type>
   <value>Automatic</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="ocimem_ramBlockType">
   <type>java.lang.String</type>
   <value>Automatic</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mmu_ramBlockType">
   <type>java.lang.String</type>
   <value>Automatic</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="bht_ramBlockType">
   <type>java.lang.String</type>
   <value>Automatic</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="resetAbsoluteAddr">
   <type>int</type>
   <value>262144</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="exceptionAbsoluteAddr">
   <type>int</type>
   <value>262176</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="breakAbsoluteAddr">
   <type>int</type>
   <value>526368</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mmu_TLBMissExcAbsAddr">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="dcache_bursts_derived">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="dcache_size_derived">
   <type>int</type>
   <value>2048</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="dcache_lineSize_derived">
   <type>int</type>
   <value>32</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="translate_on">
   <type>java.lang.String</type>
   <value> "synthesis translate_on"  </value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="translate_off">
   <type>java.lang.String</type>
   <value> "synthesis translate_off" </value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="instAddrWidth">
   <type>int</type>
   <value>20</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
   <sysinfo_arg>instruction_master</sysinfo_arg>
  </parameter>
  <parameter name="dataAddrWidth">
   <type>int</type>
   <value>20</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
   <sysinfo_arg>data_master</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledDataMaster0AddrWidth">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
   <sysinfo_arg>tightly_coupled_data_master_0</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledDataMaster1AddrWidth">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
   <sysinfo_arg>tightly_coupled_data_master_1</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledDataMaster2AddrWidth">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
   <sysinfo_arg>tightly_coupled_data_master_2</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledDataMaster3AddrWidth">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
   <sysinfo_arg>tightly_coupled_data_master_3</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledInstructionMaster0AddrWidth">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
   <sysinfo_arg>tightly_coupled_instruction_master_0</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledInstructionMaster1AddrWidth">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
   <sysinfo_arg>tightly_coupled_instruction_master_1</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledInstructionMaster2AddrWidth">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
   <sysinfo_arg>tightly_coupled_instruction_master_2</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledInstructionMaster3AddrWidth">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
   <sysinfo_arg>tightly_coupled_instruction_master_3</sysinfo_arg>
  </parameter>
  <parameter name="instSlaveMapParam">
   <type>java.lang.String</type>
   <value><![CDATA[<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x67100' /><slave name='nios2_qsys.jtag_debug_module' start='0x80800' end='0x81000' /></address-map>]]></value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_MAP</sysinfo_type>
   <sysinfo_arg>instruction_master</sysinfo_arg>
  </parameter>
  <parameter name="dataSlaveMapParam">
   <type>java.lang.String</type>
   <value><![CDATA[<address-map><slave name='sw.s1' start='0x0' end='0x10' /><slave name='onchip_memory2.s1' start='0x40000' end='0x67100' /><slave name='nios2_qsys.jtag_debug_module' start='0x80800' end='0x81000' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81000' end='0x81008' /><slave name='sysid_qsys.control_slave' start='0x81008' end='0x81010' /><slave name='adc_ltc2308.slave' start='0x81010' end='0x81018' /></address-map>]]></value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_MAP</sysinfo_type>
   <sysinfo_arg>data_master</sysinfo_arg>
  </parameter>
  <parameter name="clockFrequency">
   <type>long</type>
   <value>100000000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>CLOCK_RATE</sysinfo_type>
   <sysinfo_arg>clk</sysinfo_arg>
  </parameter>
  <parameter name="deviceFamilyName">
   <type>java.lang.String</type>
   <value>CYCLONEV</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  </parameter>
  <parameter name="internalIrqMaskSystemInfo">
   <type>long</type>
   <value>3</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>INTERRUPTS_USED</sysinfo_type>
   <sysinfo_arg>d_irq</sysinfo_arg>
  </parameter>
  <parameter name="customInstSlavesSystemInfo">
   <type>java.lang.String</type>
   <value><![CDATA[<info/>]]></value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>CUSTOM_INSTRUCTION_SLAVES</sysinfo_type>
   <sysinfo_arg>custom_instruction_master</sysinfo_arg>
  </parameter>
  <parameter name="deviceFeaturesSystemInfo">
   <type>java.lang.String</type>
   <value>ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>DEVICE_FEATURES</sysinfo_type>
  </parameter>
  <parameter name="tightlyCoupledDataMaster0MapParam">
   <type>java.lang.String</type>
   <value></value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_MAP</sysinfo_type>
   <sysinfo_arg>tightly_coupled_data_master_0</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledDataMaster1MapParam">
   <type>java.lang.String</type>
   <value></value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_MAP</sysinfo_type>
   <sysinfo_arg>tightly_coupled_data_master_1</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledDataMaster2MapParam">
   <type>java.lang.String</type>
   <value></value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_MAP</sysinfo_type>
   <sysinfo_arg>tightly_coupled_data_master_2</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledDataMaster3MapParam">
   <type>java.lang.String</type>
   <value></value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_MAP</sysinfo_type>
   <sysinfo_arg>tightly_coupled_data_master_3</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledInstructionMaster0MapParam">
   <type>java.lang.String</type>
   <value></value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_MAP</sysinfo_type>
   <sysinfo_arg>tightly_coupled_instruction_master_0</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledInstructionMaster1MapParam">
   <type>java.lang.String</type>
   <value></value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_MAP</sysinfo_type>
   <sysinfo_arg>tightly_coupled_instruction_master_1</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledInstructionMaster2MapParam">
   <type>java.lang.String</type>
   <value></value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_MAP</sysinfo_type>
   <sysinfo_arg>tightly_coupled_instruction_master_2</sysinfo_arg>
  </parameter>
  <parameter name="tightlyCoupledInstructionMaster3MapParam">
   <type>java.lang.String</type>
   <value></value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>ADDRESS_MAP</sysinfo_type>
   <sysinfo_arg>tightly_coupled_instruction_master_3</sysinfo_arg>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="clk" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>java.lang.Boolean</type>
    <value>true</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>java.lang.Long</type>
    <value>100000000</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="reset_n" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>reset_n</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset_n</role>
   </port>
   <port>
    <name>reset_req</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset_req</role>
   </port>
  </interface>
  <interface name="data_master" kind="avalon_master" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <assignment>
    <name>debug.providesServices</name>
    <value>master</value>
   </assignment>
   <parameter name="adaptsTo">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>SYMBOLS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>reset_n</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="dBSBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamReads">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamWrites">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isAsynchronous">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isReadable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isWriteable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maxAddressWidth">
    <type>int</type>
    <value>32</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>true</isStart>
   <port>
    <name>d_address</name>
    <direction>Output</direction>
    <width>20</width>
    <role>address</role>
   </port>
   <port>
    <name>d_byteenable</name>
    <direction>Output</direction>
    <width>4</width>
    <role>byteenable</role>
   </port>
   <port>
    <name>d_read</name>
    <direction>Output</direction>
    <width>1</width>
    <role>read</role>
   </port>
   <port>
    <name>d_readdata</name>
    <direction>Input</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
   <port>
    <name>d_waitrequest</name>
    <direction>Input</direction>
    <width>1</width>
    <role>waitrequest</role>
   </port>
   <port>
    <name>d_write</name>
    <direction>Output</direction>
    <width>1</width>
    <role>write</role>
   </port>
   <port>
    <name>d_writedata</name>
    <direction>Output</direction>
    <width>32</width>
    <role>writedata</role>
   </port>
   <port>
    <name>d_readdatavalid</name>
    <direction>Input</direction>
    <width>1</width>
    <role>readdatavalid</role>
   </port>
   <port>
    <name>jtag_debug_module_debugaccess_to_roms</name>
    <direction>Output</direction>
    <width>1</width>
    <role>debugaccess</role>
   </port>
   <memoryBlock>
    <isBridge>false</isBridge>
    <moduleName>mm_interconnect_0</moduleName>
    <slaveName>nios2_qsys_data_master</slaveName>
    <name>mm_interconnect_0.nios2_qsys_data_master</name>
    <baseAddress>0</baseAddress>
    <span>1048576</span>
   </memoryBlock>
  </interface>
  <interface name="instruction_master" kind="avalon_master" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="adaptsTo">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>SYMBOLS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>reset_n</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="dBSBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamReads">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamWrites">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isAsynchronous">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isReadable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isWriteable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maxAddressWidth">
    <type>int</type>
    <value>32</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>true</isStart>
   <port>
    <name>i_address</name>
    <direction>Output</direction>
    <width>20</width>
    <role>address</role>
   </port>
   <port>
    <name>i_read</name>
    <direction>Output</direction>
    <width>1</width>
    <role>read</role>
   </port>
   <port>
    <name>i_readdata</name>
    <direction>Input</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
   <port>
    <name>i_waitrequest</name>
    <direction>Input</direction>
    <width>1</width>
    <role>waitrequest</role>
   </port>
   <port>
    <name>i_readdatavalid</name>
    <direction>Input</direction>
    <width>1</width>
    <role>readdatavalid</role>
   </port>
   <memoryBlock>
    <isBridge>false</isBridge>
    <moduleName>mm_interconnect_0</moduleName>
    <slaveName>nios2_qsys_instruction_master</slaveName>
    <name>mm_interconnect_0.nios2_qsys_instruction_master</name>
    <baseAddress>0</baseAddress>
    <span>1048576</span>
   </memoryBlock>
  </interface>
  <interface name="d_irq" kind="interrupt_receiver" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedAddressablePoint">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value>nios2_qsys.data_master</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>reset_n</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="irqMap">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="irqScheme">
    <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
    <value>INDIVIDUAL_REQUESTS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>interrupt</type>
   <isStart>true</isStart>
   <port>
    <name>d_irq</name>
    <direction>Input</direction>
    <width>32</width>
    <role>irq</role>
   </port>
   <interrupt>
    <isBridge>false</isBridge>
    <moduleName>irq_mapper</moduleName>
    <slaveName>sender</slaveName>
    <name>irq_mapper.sender</name>
    <interruptNumber>0</interruptNumber>
   </interrupt>
  </interface>
  <interface name="jtag_debug_module_reset" kind="reset_source" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedDirectReset">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedResetSinks">
    <type>[Ljava.lang.String;</type>
    <value>none</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>true</isStart>
   <port>
    <name>jtag_debug_module_resetrequest</name>
    <direction>Output</direction>
    <width>1</width>
    <role>reset</role>
   </port>
  </interface>
  <interface name="jtag_debug_module" kind="avalon_slave" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <assignment>
    <name>embeddedsw.configuration.hideDevice</name>
    <value>1</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isFlash</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isMemoryDevice</name>
    <value>1</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isNonVolatileStorage</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isPrintableDevice</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>qsys.ui.connect</name>
    <value>instruction_master,data_master</value>
   </assignment>
   <parameter name="addressAlignment">
    <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
    <value>DYNAMIC</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressSpan">
    <type>java.math.BigInteger</type>
    <value>2048</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>reset_n</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgedAddressOffset">
    <type>java.math.BigInteger</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgesToMaster">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="explicitAddressSpan">
    <type>java.math.BigInteger</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isFlash">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isMemoryDevice">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isNonVolatileStorage">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="minimumUninterruptedRunLength">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="printableDevice">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitStates">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="transparentBridge">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="wellBehavedWaitrequest">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitStates">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>false</isStart>
   <port>
    <name>jtag_debug_module_address</name>
    <direction>Input</direction>
    <width>9</width>
    <role>address</role>
   </port>
   <port>
    <name>jtag_debug_module_byteenable</name>
    <direction>Input</direction>
    <width>4</width>
    <role>byteenable</role>
   </port>
   <port>
    <name>jtag_debug_module_debugaccess</name>
    <direction>Input</direction>
    <width>1</width>
    <role>debugaccess</role>
   </port>
   <port>
    <name>jtag_debug_module_read</name>
    <direction>Input</direction>
    <width>1</width>
    <role>read</role>
   </port>
   <port>
    <name>jtag_debug_module_readdata</name>
    <direction>Output</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
   <port>
    <name>jtag_debug_module_waitrequest</name>
    <direction>Output</direction>
    <width>1</width>
    <role>waitrequest</role>
   </port>
   <port>
    <name>jtag_debug_module_write</name>
    <direction>Input</direction>
    <width>1</width>
    <role>write</role>
   </port>
   <port>
    <name>jtag_debug_module_writedata</name>
    <direction>Input</direction>
    <width>32</width>
    <role>writedata</role>
   </port>
  </interface>
  <interface
     name="custom_instruction_master"
     kind="nios_custom_instruction_master"
     version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="CIName">
    <type>java.lang.String</type>
    <value></value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressWidth">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockCycle">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="enabled">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maxAddressWidth">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="opcodeExtension">
    <type>int</type>
    <value>0</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="sharedCombinationalAndMulticycle">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>nios_custom_instruction</type>
   <isStart>true</isStart>
   <port>
    <name>no_ci_readra</name>
    <direction>Output</direction>
    <width>1</width>
    <role>readra</role>
   </port>
  </interface>
 </module>
 <module
   name="onchip_memory2"
   kind="altera_avalon_onchip_memory2"
   version="14.0"
   path="onchip_memory2">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <assignment>
   <name>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.CONTENTS_INFO</name>
   <value>""</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.DUAL_PORT</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</name>
   <value>AUTO</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.INIT_CONTENTS_FILE</name>
   <value>DE0_NANO_SOC_QSYS_onchip_memory2</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.INIT_MEM_CONTENT</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.INSTANCE_ID</name>
   <value>NONE</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.RAM_BLOCK_TYPE</name>
   <value>AUTO</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.READ_DURING_WRITE_MODE</name>
   <value>DONT_CARE</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.SINGLE_CLOCK_OP</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.SIZE_MULTIPLE</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.SIZE_VALUE</name>
   <value>160000</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.WRITABLE</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</name>
   <value>SIM_DIR</value>
  </assignment>
  <assignment>
   <name>embeddedsw.memoryInfo.GENERATE_DAT_SYM</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.memoryInfo.GENERATE_HEX</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.memoryInfo.HAS_BYTE_LANE</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.memoryInfo.HEX_INSTALL_DIR</name>
   <value>QPF_DIR</value>
  </assignment>
  <assignment>
   <name>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</name>
   <value>32</value>
  </assignment>
  <assignment>
   <name>embeddedsw.memoryInfo.MEM_INIT_FILENAME</name>
   <value>DE0_NANO_SOC_QSYS_onchip_memory2</value>
  </assignment>
  <assignment>
   <name>postgeneration.simulation.init_file.param_name</name>
   <value>INIT_FILE</value>
  </assignment>
  <assignment>
   <name>postgeneration.simulation.init_file.type</name>
   <value>MEM_INIT</value>
  </assignment>
  <parameter name="allowInSystemMemoryContentEditor">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="blockType">
   <type>java.lang.String</type>
   <value>AUTO</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="dataWidth">
   <type>int</type>
   <value>32</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="dualPort">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="initMemContent">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="initializationFileName">
   <type>java.lang.String</type>
   <value>onchip_mem.hex</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="instanceID">
   <type>java.lang.String</type>
   <value>NONE</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="memorySize">
   <type>long</type>
   <value>160000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="readDuringWriteMode">
   <type>java.lang.String</type>
   <value>DONT_CARE</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="simAllowMRAMContentsFile">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="simMemInitOnlyFilename">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="singleClockOperation">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="slave1Latency">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="slave2Latency">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="useNonDefaultInitFile">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="useShallowMemBlocks">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="writable">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="ecc_enabled">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="resetrequest_enabled">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="autoInitializationFileName">
   <type>java.lang.String</type>
   <value>DE0_NANO_SOC_QSYS_onchip_memory2</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>UNIQUE_ID</sysinfo_type>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>CYCLONEV</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  </parameter>
  <parameter name="deviceFeatures">
   <type>java.lang.String</type>
   <value>ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>DEVICE_FEATURES</sysinfo_type>
  </parameter>
  <parameter name="derived_set_addr_width">
   <type>int</type>
   <value>16</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="derived_set_data_width">
   <type>int</type>
   <value>32</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="derived_gui_ram_block_type">
   <type>java.lang.String</type>
   <value>Automatic</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="derived_is_hardcopy">
   <type>boolean</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="derived_init_file_name">
   <type>java.lang.String</type>
   <value>DE0_NANO_SOC_QSYS_onchip_memory2.hex</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="clk1" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="s1" kind="avalon_slave" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <assignment>
    <name>embeddedsw.configuration.isFlash</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isMemoryDevice</name>
    <value>1</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isNonVolatileStorage</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isPrintableDevice</name>
    <value>0</value>
   </assignment>
   <parameter name="addressAlignment">
    <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
    <value>DYNAMIC</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressSpan">
    <type>java.math.BigInteger</type>
    <value>160000</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>reset1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgedAddressOffset">
    <type>java.math.BigInteger</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgesToMaster">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="explicitAddressSpan">
    <type>java.math.BigInteger</type>
    <value>160000</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isFlash">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isMemoryDevice">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isNonVolatileStorage">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="minimumUninterruptedRunLength">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="printableDevice">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitStates">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="transparentBridge">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="wellBehavedWaitrequest">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitStates">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>false</isStart>
   <port>
    <name>address</name>
    <direction>Input</direction>
    <width>16</width>
    <role>address</role>
   </port>
   <port>
    <name>clken</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clken</role>
   </port>
   <port>
    <name>chipselect</name>
    <direction>Input</direction>
    <width>1</width>
    <role>chipselect</role>
   </port>
   <port>
    <name>write</name>
    <direction>Input</direction>
    <width>1</width>
    <role>write</role>
   </port>
   <port>
    <name>readdata</name>
    <direction>Output</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
   <port>
    <name>writedata</name>
    <direction>Input</direction>
    <width>32</width>
    <role>writedata</role>
   </port>
   <port>
    <name>byteenable</name>
    <direction>Input</direction>
    <width>4</width>
    <role>byteenable</role>
   </port>
  </interface>
  <interface name="reset1" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>reset</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset</role>
   </port>
   <port>
    <name>reset_req</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset_req</role>
   </port>
  </interface>
 </module>
 <module
   name="sysid_qsys"
   kind="altera_avalon_sysid_qsys"
   version="14.0"
   path="sysid_qsys">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <assignment>
   <name>embeddedsw.CMacro.ID</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.TIMESTAMP</name>
   <value>1418889131</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.compatible</name>
   <value>altr,sysid-1.0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.group</name>
   <value>sysid</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.name</name>
   <value>sysid</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.id</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.timestamp</name>
   <value>1418889131</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.vendor</name>
   <value>altr</value>
  </assignment>
  <parameter name="id">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="timestamp">
   <type>int</type>
   <value>1418889131</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>GENERATION_ID</sysinfo_type>
  </parameter>
  <parameter name="AUTO_CLK_CLOCK_RATE">
   <type>java.lang.Long</type>
   <value>100000000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>CLOCK_RATE</sysinfo_type>
   <sysinfo_arg>clk</sysinfo_arg>
  </parameter>
  <parameter name="AUTO_DEVICE_FAMILY">
   <type>java.lang.String</type>
   <value>CYCLONEV</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>Cyclone V</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="clk" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>java.lang.Boolean</type>
    <value>true</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>java.lang.Long</type>
    <value>100000000</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>clock</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="reset" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>reset_n</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset_n</role>
   </port>
  </interface>
  <interface name="control_slave" kind="avalon_slave" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <assignment>
    <name>embeddedsw.configuration.isFlash</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isMemoryDevice</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isNonVolatileStorage</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isPrintableDevice</name>
    <value>0</value>
   </assignment>
   <parameter name="addressAlignment">
    <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
    <value>DYNAMIC</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressSpan">
    <type>java.math.BigInteger</type>
    <value>8</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgedAddressOffset">
    <type>java.math.BigInteger</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgesToMaster">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="explicitAddressSpan">
    <type>java.math.BigInteger</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isFlash">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isMemoryDevice">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isNonVolatileStorage">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="minimumUninterruptedRunLength">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="printableDevice">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitStates">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="transparentBridge">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="wellBehavedWaitrequest">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitStates">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>false</isStart>
   <port>
    <name>readdata</name>
    <direction>Output</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
   <port>
    <name>address</name>
    <direction>Input</direction>
    <width>1</width>
    <role>address</role>
   </port>
  </interface>
 </module>
 <module
   name="jtag_uart"
   kind="altera_avalon_jtag_uart"
   version="14.0"
   path="jtag_uart">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <assignment>
   <name>embeddedsw.CMacro.READ_DEPTH</name>
   <value>64</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.READ_THRESHOLD</name>
   <value>8</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.WRITE_DEPTH</name>
   <value>64</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.WRITE_THRESHOLD</name>
   <value>8</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.compatible</name>
   <value>altr,juart-1.0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.group</name>
   <value>serial</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.name</name>
   <value>juart</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.vendor</name>
   <value>altr</value>
  </assignment>
  <parameter name="allowMultipleConnections">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="hubInstanceID">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="readBufferDepth">
   <type>int</type>
   <value>64</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="readIRQThreshold">
   <type>int</type>
   <value>8</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="simInputCharacterStream">
   <type>java.lang.String</type>
   <value></value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="simInteractiveOptions">
   <type>java.lang.String</type>
   <value>NO_INTERACTIVE_WINDOWS</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="useRegistersForReadBuffer">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="useRegistersForWriteBuffer">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="useRelativePathForSimFile">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="writeBufferDepth">
   <type>int</type>
   <value>64</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="writeIRQThreshold">
   <type>int</type>
   <value>8</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="avalonSpec">
   <type>java.lang.String</type>
   <value>2.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>AVALON_SPEC</sysinfo_type>
  </parameter>
  <parameter name="legacySignalAllow">
   <type>boolean</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="enableInteractiveInput">
   <type>boolean</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="enableInteractiveOutput">
   <type>boolean</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="clk" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="reset" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>rst_n</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset_n</role>
   </port>
  </interface>
  <interface name="avalon_jtag_slave" kind="avalon_slave" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <assignment>
    <name>embeddedsw.configuration.isFlash</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isMemoryDevice</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isNonVolatileStorage</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isPrintableDevice</name>
    <value>1</value>
   </assignment>
   <parameter name="addressAlignment">
    <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
    <value>NATIVE</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressSpan">
    <type>java.math.BigInteger</type>
    <value>2</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgedAddressOffset">
    <type>java.math.BigInteger</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgesToMaster">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="explicitAddressSpan">
    <type>java.math.BigInteger</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isFlash">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isMemoryDevice">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isNonVolatileStorage">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="minimumUninterruptedRunLength">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="printableDevice">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitStates">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="transparentBridge">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="wellBehavedWaitrequest">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitStates">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>false</isStart>
   <port>
    <name>av_chipselect</name>
    <direction>Input</direction>
    <width>1</width>
    <role>chipselect</role>
   </port>
   <port>
    <name>av_address</name>
    <direction>Input</direction>
    <width>1</width>
    <role>address</role>
   </port>
   <port>
    <name>av_read_n</name>
    <direction>Input</direction>
    <width>1</width>
    <role>read_n</role>
   </port>
   <port>
    <name>av_readdata</name>
    <direction>Output</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
   <port>
    <name>av_write_n</name>
    <direction>Input</direction>
    <width>1</width>
    <role>write_n</role>
   </port>
   <port>
    <name>av_writedata</name>
    <direction>Input</direction>
    <width>32</width>
    <role>writedata</role>
   </port>
   <port>
    <name>av_waitrequest</name>
    <direction>Output</direction>
    <width>1</width>
    <role>waitrequest</role>
   </port>
  </interface>
  <interface name="irq" kind="interrupt_sender" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedAddressablePoint">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value>jtag_uart.avalon_jtag_slave</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgedReceiverOffset">
    <type>java.lang.Integer</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgesToReceiver">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="irqScheme">
    <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
    <value>NONE</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>interrupt</type>
   <isStart>false</isStart>
   <port>
    <name>av_irq</name>
    <direction>Output</direction>
    <width>1</width>
    <role>irq</role>
   </port>
  </interface>
 </module>
 <module name="pll_sys" kind="altera_pll" version="14.0" path="pll_sys">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <parameter name="debug_print_output">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="debug_use_rbc_taf_method">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="device_family">
   <type>java.lang.String</type>
   <value>CYCLONEV</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  </parameter>
  <parameter name="device">
   <type>java.lang.String</type>
   <value>5CSEMA4U23C6</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>DEVICE</sysinfo_type>
  </parameter>
  <parameter name="gui_device_speed_grade">
   <type>java.lang.String</type>
   <value>2</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_pll_mode">
   <type>java.lang.String</type>
   <value>Integer-N PLL</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="fractional_vco_multiplier">
   <type>boolean</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_reference_clock_frequency">
   <type>double</type>
   <value>50.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="reference_clock_frequency">
   <type>java.lang.String</type>
   <value>50.0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_channel_spacing">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_operation_mode">
   <type>java.lang.String</type>
   <value>normal</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_feedback_clock">
   <type>java.lang.String</type>
   <value>Global Clock</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_fractional_cout">
   <type>int</type>
   <value>32</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_fractional_cout">
   <type>int</type>
   <value>32</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_dsm_out_sel">
   <type>java.lang.String</type>
   <value>1st_order</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_dsm_out_sel">
   <type>java.lang.String</type>
   <value>1st_order</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="operation_mode">
   <type>java.lang.String</type>
   <value>normal</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_use_locked">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_en_adv_params">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_number_of_clocks">
   <type>int</type>
   <value>3</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="number_of_clocks">
   <type>int</type>
   <value>3</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="number_of_cascade_counters">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_multiply_factor">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_frac_multiply_factor">
   <type>long</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_n">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter0">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency0">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c0">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor0">
   <type>int</type>
   <value>8</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor0">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor0">
   <type>int</type>
   <value>4</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency0">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units0">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift0">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg0">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift0">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle0">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter1">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency1">
   <type>double</type>
   <value>40.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c1">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor1">
   <type>int</type>
   <value>8</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor1">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor1">
   <type>int</type>
   <value>10</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency1">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units1">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift1">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg1">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift1">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle1">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter2">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency2">
   <type>double</type>
   <value>200.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c2">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor2">
   <type>int</type>
   <value>8</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor2">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor2">
   <type>int</type>
   <value>2</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency2">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units2">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift2">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg2">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift2">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle2">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter3">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency3">
   <type>double</type>
   <value>120.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c3">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor3">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor3">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor3">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency3">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units3">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift3">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg3">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift3">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle3">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter4">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency4">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c4">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor4">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor4">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor4">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency4">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units4">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift4">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg4">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift4">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle4">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter5">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency5">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c5">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor5">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor5">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor5">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency5">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units5">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift5">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg5">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift5">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle5">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter6">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency6">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c6">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor6">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor6">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor6">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency6">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units6">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift6">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg6">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift6">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle6">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter7">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency7">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c7">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor7">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor7">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor7">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency7">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units7">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift7">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg7">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift7">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle7">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter8">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency8">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c8">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor8">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor8">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor8">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency8">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units8">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift8">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg8">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift8">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle8">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter9">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency9">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c9">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor9">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor9">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor9">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency9">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units9">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift9">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg9">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift9">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle9">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter10">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency10">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c10">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor10">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor10">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor10">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency10">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units10">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift10">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg10">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift10">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle10">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter11">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency11">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c11">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor11">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor11">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor11">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency11">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units11">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift11">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg11">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift11">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle11">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter12">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency12">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c12">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor12">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor12">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor12">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency12">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units12">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift12">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg12">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift12">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle12">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter13">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency13">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c13">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor13">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor13">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor13">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency13">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units13">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift13">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg13">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift13">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle13">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter14">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency14">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c14">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor14">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor14">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor14">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency14">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units14">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift14">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg14">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift14">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle14">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter15">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency15">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c15">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor15">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor15">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor15">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency15">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units15">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift15">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg15">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift15">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle15">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter16">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency16">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c16">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor16">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor16">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor16">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency16">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units16">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift16">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg16">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift16">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle16">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_counter17">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_output_clock_frequency17">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_divide_factor_c17">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_multiply_factor17">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_frac_multiply_factor17">
   <type>long</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_divide_factor17">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_output_clock_frequency17">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_ps_units17">
   <type>java.lang.String</type>
   <value>ps</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift17">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phase_shift_deg17">
   <type>double</type>
   <value>0.0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_actual_phase_shift17">
   <type>java.lang.String</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_duty_cycle17">
   <type>int</type>
   <value>50</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency0">
   <type>java.lang.String</type>
   <value>100.000000 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift0">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle0">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency1">
   <type>java.lang.String</type>
   <value>40.000000 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift1">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle1">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency2">
   <type>java.lang.String</type>
   <value>200.000000 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift2">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle2">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency3">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift3">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle3">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency4">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift4">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle4">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency5">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift5">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle5">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency6">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift6">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle6">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency7">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift7">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle7">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency8">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift8">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle8">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency9">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift9">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle9">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency10">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift10">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle10">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency11">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift11">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle11">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency12">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift12">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle12">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency13">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift13">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle13">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency14">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift14">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle14">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency15">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift15">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle15">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency16">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift16">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle16">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="output_clock_frequency17">
   <type>java.lang.String</type>
   <value>0 MHz</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="phase_shift17">
   <type>java.lang.String</type>
   <value>0 ps</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="duty_cycle17">
   <type>int</type>
   <value>50</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_pll_auto_reset">
   <type>java.lang.String</type>
   <value>Off</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_pll_bandwidth_preset">
   <type>java.lang.String</type>
   <value>Auto</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_en_reconf">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_en_dps_ports">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_en_phout_ports">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_phout_division">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_en_lvds_ports">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_vcoph_div">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_type">
   <type>java.lang.String</type>
   <value>General</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_subtype">
   <type>java.lang.String</type>
   <value>General</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="m_cnt_hi_div">
   <type>int</type>
   <value>4</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="m_cnt_lo_div">
   <type>int</type>
   <value>4</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="n_cnt_hi_div">
   <type>int</type>
   <value>256</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="n_cnt_lo_div">
   <type>int</type>
   <value>256</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="m_cnt_bypass_en">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="n_cnt_bypass_en">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="m_cnt_odd_div_duty_en">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="n_cnt_odd_div_duty_en">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div0">
   <type>int</type>
   <value>2</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div0">
   <type>int</type>
   <value>2</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst0">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst0">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src0">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en0">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en0">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div1">
   <type>int</type>
   <value>5</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div1">
   <type>int</type>
   <value>5</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst1">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst1">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src1">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en1">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en1">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div2">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div2">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst2">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst2">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src2">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en2">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en2">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div3">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div3">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst3">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst3">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src3">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en3">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en3">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div4">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div4">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst4">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst4">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src4">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en4">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en4">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div5">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div5">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst5">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst5">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src5">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en5">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en5">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div6">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div6">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst6">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst6">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src6">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en6">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en6">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div7">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div7">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst7">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst7">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src7">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en7">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en7">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div8">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div8">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst8">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst8">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src8">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en8">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en8">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div9">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div9">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst9">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst9">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src9">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en9">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en9">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div10">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div10">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst10">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst10">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src10">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en10">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en10">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div11">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div11">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst11">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst11">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src11">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en11">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en11">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div12">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div12">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst12">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst12">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src12">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en12">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en12">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div13">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div13">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst13">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst13">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src13">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en13">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en13">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div14">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div14">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst14">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst14">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src14">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en14">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en14">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div15">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div15">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst15">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst15">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src15">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en15">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en15">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div16">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div16">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst16">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst16">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src16">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en16">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en16">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_hi_div17">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_lo_div17">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_prst17">
   <type>int</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_ph_mux_prst17">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_in_src17">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_bypass_en17">
   <type>java.lang.String</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="c_cnt_odd_div_duty_en17">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_vco_div">
   <type>int</type>
   <value>2</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_cp_current">
   <type>int</type>
   <value>20</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_bwctrl">
   <type>int</type>
   <value>4000</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_output_clk_frequency">
   <type>java.lang.String</type>
   <value>400.0 MHz</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_fractional_division">
   <type>java.lang.String</type>
   <value>1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="mimic_fbclk_type">
   <type>java.lang.String</type>
   <value>gclk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_fbclk_mux_1">
   <type>java.lang.String</type>
   <value>glb</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_fbclk_mux_2">
   <type>java.lang.String</type>
   <value>fb_1</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_m_cnt_in_src">
   <type>java.lang.String</type>
   <value>ph_mux_clk</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_slf_rst">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_parameter_list">
   <type>[Ljava.lang.String;</type>
   <value>M-Counter Hi Divide,M-Counter Low Divide,N-Counter Hi Divide,N-Counter Low Divide,M-Counter Bypass Enable,N-Counter Bypass Enable,M-Counter Odd Divide Enable,N-Counter Odd Divide Enable,C-Counter-0 Hi Divide,C-Counter-0 Low Divide,C-Counter-0 Coarse Phase Shift,C-Counter-0 VCO Phase Tap,C-Counter-0 Input Source,C-Counter-0 Bypass Enable,C-Counter-0 Odd Divide Enable,C-Counter-1 Hi Divide,C-Counter-1 Low Divide,C-Counter-1 Coarse Phase Shift,C-Counter-1 VCO Phase Tap,C-Counter-1 Input Source,C-Counter-1 Bypass Enable,C-Counter-1 Odd Divide Enable,C-Counter-2 Hi Divide,C-Counter-2 Low Divide,C-Counter-2 Coarse Phase Shift,C-Counter-2 VCO Phase Tap,C-Counter-2 Input Source,C-Counter-2 Bypass Enable,C-Counter-2 Odd Divide Enable,VCO Post Divide Counter Enable,Charge Pump current (uA),Loop Filter Bandwidth Resistor (Ohms) ,PLL Output VCO Frequency,K-Fractional Division Value (DSM),Feedback Clock Type,Feedback Clock MUX 1,Feedback Clock MUX 2,M Counter Source MUX,PLL Auto Reset</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_parameter_values">
   <type>[Ljava.lang.String;</type>
   <value>4,4,256,256,false,true,false,false,2,2,1,0,ph_mux_clk,false,false,5,5,1,0,ph_mux_clk,false,false,1,1,1,0,ph_mux_clk,false,false,2,20,4000,400.0 MHz,1,gclk,glb,fb_1,ph_mux_clk,false</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_mif_generate">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_enable_mif_dps">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_dps_cntr">
   <type>java.lang.String</type>
   <value>C0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_dps_num">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_dps_dir">
   <type>java.lang.String</type>
   <value>Positive</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_refclk_switch">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_refclk1_frequency">
   <type>double</type>
   <value>100.0</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_switchover_mode">
   <type>java.lang.String</type>
   <value>Automatic Switchover</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_switchover_delay">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_active_clk">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_clk_bad">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="refclk1_frequency">
   <type>java.lang.String</type>
   <value>100.0 MHz</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_clk_loss_sw_en">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_manu_clk_sw_en">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_auto_clk_sw_en">
   <type>java.lang.String</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_clkin_1_src">
   <type>java.lang.String</type>
   <value>clk_0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_clk_sw_dly">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_enable_cascade_out">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_cascade_outclk_index">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_enable_cascade_in">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="pll_clkin_0_src">
   <type>java.lang.String</type>
   <value>clk_0</value>
   <derived>true</derived>
   <enabled>false</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="gui_pll_cascading_mode">
   <type>java.lang.String</type>
   <value>Create an adjpllin signal to connect with an upstream PLL</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="AUTO_REFCLK_CLOCK_RATE">
   <type>java.lang.Long</type>
   <value>50000000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>CLOCK_RATE</sysinfo_type>
   <sysinfo_arg>refclk</sysinfo_arg>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="refclk" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>java.lang.Boolean</type>
    <value>true</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>java.lang.Long</type>
    <value>50000000</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>refclk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="reset" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>refclk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>rst</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset</role>
   </port>
  </interface>
  <interface name="outclk0" kind="clock_source" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedDirectClock">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>long</type>
    <value>100000000</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>true</isStart>
   <port>
    <name>outclk_0</name>
    <direction>Output</direction>
    <width>1</width>
    <role>clk</role>
   </port>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>jtag_uart</moduleName>
    <slaveName>clk</slaveName>
    <name>jtag_uart.clk</name>
   </clockDomainMember>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>sysid_qsys</moduleName>
    <slaveName>clk</slaveName>
    <name>sysid_qsys.clk</name>
   </clockDomainMember>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>onchip_memory2</moduleName>
    <slaveName>clk1</slaveName>
    <name>onchip_memory2.clk1</name>
   </clockDomainMember>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>nios2_qsys</moduleName>
    <slaveName>clk</slaveName>
    <name>nios2_qsys.clk</name>
   </clockDomainMember>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>adc_ltc2308</moduleName>
    <slaveName>clock_sink</slaveName>
    <name>adc_ltc2308.clock_sink</name>
   </clockDomainMember>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>sw</moduleName>
    <slaveName>clk</slaveName>
    <name>sw.clk</name>
   </clockDomainMember>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>mm_interconnect_0</moduleName>
    <slaveName>pll_sys_outclk0</slaveName>
    <name>mm_interconnect_0.pll_sys_outclk0</name>
   </clockDomainMember>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>irq_mapper</moduleName>
    <slaveName>clk</slaveName>
    <name>irq_mapper.clk</name>
   </clockDomainMember>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>rst_controller</moduleName>
    <slaveName>clk</slaveName>
    <name>rst_controller.clk</name>
   </clockDomainMember>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>rst_translator</moduleName>
    <slaveName>clk</slaveName>
    <name>rst_translator.clk</name>
   </clockDomainMember>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>rst_controller_001</moduleName>
    <slaveName>clk</slaveName>
    <name>rst_controller_001.clk</name>
   </clockDomainMember>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>rst_translator_001</moduleName>
    <slaveName>clk</slaveName>
    <name>rst_translator_001.clk</name>
   </clockDomainMember>
  </interface>
  <interface name="outclk1" kind="clock_source" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedDirectClock">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>long</type>
    <value>40000000</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>true</isStart>
   <port>
    <name>outclk_1</name>
    <direction>Output</direction>
    <width>1</width>
    <role>clk</role>
   </port>
   <clockDomainMember>
    <isBridge>false</isBridge>
    <moduleName>adc_ltc2308</moduleName>
    <slaveName>clock_sink_adc</slaveName>
    <name>adc_ltc2308.clock_sink_adc</name>
   </clockDomainMember>
  </interface>
  <interface name="outclk2" kind="clock_source" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedDirectClock">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>long</type>
    <value>200000000</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>true</isStart>
   <port>
    <name>outclk_2</name>
    <direction>Output</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="locked" kind="conduit_end" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <assignment>
    <name>ui.blockdiagram.direction</name>
    <value>OUTPUT</value>
   </assignment>
   <parameter name="allowMultipleExportRoles">
    <type>boolean</type>
    <value>true</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>conduit</type>
   <isStart>false</isStart>
   <port>
    <name>locked</name>
    <direction>Output</direction>
    <width>1</width>
    <role>export</role>
   </port>
  </interface>
 </module>
 <module
   name="adc_ltc2308"
   kind="adc_ltc2308"
   version="1.1"
   path="adc_ltc2308">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <parameter name="AUTO_CLOCK_SINK_CLOCK_RATE">
   <type>java.lang.Long</type>
   <value>100000000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>CLOCK_RATE</sysinfo_type>
   <sysinfo_arg>clock_sink</sysinfo_arg>
  </parameter>
  <parameter name="AUTO_CLOCK_SINK_ADC_CLOCK_RATE">
   <type>java.lang.Long</type>
   <value>40000000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>CLOCK_RATE</sysinfo_type>
   <sysinfo_arg>clock_sink_adc</sysinfo_arg>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="slave" kind="avalon_slave" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <assignment>
    <name>embeddedsw.configuration.isFlash</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isMemoryDevice</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isNonVolatileStorage</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isPrintableDevice</name>
    <value>0</value>
   </assignment>
   <parameter name="addressAlignment">
    <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
    <value>NATIVE</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressSpan">
    <type>java.math.BigInteger</type>
    <value>2</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clock_sink</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>reset_sink</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgedAddressOffset">
    <type>java.math.BigInteger</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgesToMaster">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="explicitAddressSpan">
    <type>java.math.BigInteger</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isFlash">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isMemoryDevice">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isNonVolatileStorage">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="minimumUninterruptedRunLength">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="printableDevice">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitStates">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="transparentBridge">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="wellBehavedWaitrequest">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitStates">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>false</isStart>
   <port>
    <name>slave_chipselect_n</name>
    <direction>Input</direction>
    <width>1</width>
    <role>chipselect_n</role>
   </port>
   <port>
    <name>slave_read_n</name>
    <direction>Input</direction>
    <width>1</width>
    <role>read_n</role>
   </port>
   <port>
    <name>slave_readdata</name>
    <direction>Output</direction>
    <width>16</width>
    <role>readdata</role>
   </port>
   <port>
    <name>slave_addr</name>
    <direction>Input</direction>
    <width>1</width>
    <role>address</role>
   </port>
   <port>
    <name>slave_wrtie_n</name>
    <direction>Input</direction>
    <width>1</width>
    <role>write_n</role>
   </port>
   <port>
    <name>slave_wriredata</name>
    <direction>Input</direction>
    <width>16</width>
    <role>writedata</role>
   </port>
  </interface>
  <interface name="conduit_end" kind="conduit_end" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="allowMultipleExportRoles">
    <type>boolean</type>
    <value>true</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>conduit</type>
   <isStart>false</isStart>
   <port>
    <name>ADC_CONVST</name>
    <direction>Output</direction>
    <width>1</width>
    <role>export</role>
   </port>
   <port>
    <name>ADC_SCK</name>
    <direction>Output</direction>
    <width>1</width>
    <role>export</role>
   </port>
   <port>
    <name>ADC_SDI</name>
    <direction>Output</direction>
    <width>1</width>
    <role>export</role>
   </port>
   <port>
    <name>ADC_SDO</name>
    <direction>Input</direction>
    <width>1</width>
    <role>export</role>
   </port>
  </interface>
  <interface name="reset_sink" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clock_sink</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>slave_reset_n</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset_n</role>
   </port>
  </interface>
  <interface name="clock_sink" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>java.lang.Boolean</type>
    <value>true</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>java.lang.Long</type>
    <value>100000000</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>slave_clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="clock_sink_adc" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>java.lang.Boolean</type>
    <value>true</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>java.lang.Long</type>
    <value>40000000</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>adc_clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
 </module>
 <module name="sw" kind="altera_avalon_pio" version="14.0" path="sw">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <assignment>
   <name>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.CAPTURE</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.DATA_WIDTH</name>
   <value>10</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.DRIVEN_SIM_VALUE</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.EDGE_TYPE</name>
   <value>ANY</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.FREQ</name>
   <value>100000000</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.HAS_IN</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.HAS_OUT</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.HAS_TRI</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.IRQ_TYPE</name>
   <value>EDGE</value>
  </assignment>
  <assignment>
   <name>embeddedsw.CMacro.RESET_VALUE</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.compatible</name>
   <value>altr,pio-1.0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.group</name>
   <value>gpio</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.name</name>
   <value>pio</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.altr,gpio-bank-width</name>
   <value>10</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.altr,interrupt_type</name>
   <value>3</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.edge_type</name>
   <value>2</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.level_trigger</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.params.resetvalue</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.dts.vendor</name>
   <value>altr</value>
  </assignment>
  <parameter name="bitClearingEdgeCapReg">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="bitModifyingOutReg">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="captureEdge">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="direction">
   <type>java.lang.String</type>
   <value>Input</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="edgeType">
   <type>java.lang.String</type>
   <value>ANY</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateIRQ">
   <type>boolean</type>
   <value>true</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="irqType">
   <type>java.lang.String</type>
   <value>EDGE</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="resetValue">
   <type>long</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="simDoTestBenchWiring">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="simDrivenValue">
   <type>long</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>false</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="width">
   <type>int</type>
   <value>10</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="clockRate">
   <type>long</type>
   <value>100000000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>CLOCK_RATE</sysinfo_type>
   <sysinfo_arg>clk</sysinfo_arg>
  </parameter>
  <parameter name="derived_has_tri">
   <type>boolean</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="derived_has_out">
   <type>boolean</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="derived_has_in">
   <type>boolean</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="derived_do_test_bench_wiring">
   <type>boolean</type>
   <value>false</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="derived_capture">
   <type>boolean</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="derived_edge_type">
   <type>java.lang.String</type>
   <value>ANY</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="derived_irq_type">
   <type>java.lang.String</type>
   <value>EDGE</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="derived_has_irq">
   <type>boolean</type>
   <value>true</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="clk" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>java.lang.Boolean</type>
    <value>true</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>java.lang.Long</type>
    <value>100000000</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="reset" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>reset_n</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset_n</role>
   </port>
  </interface>
  <interface name="s1" kind="avalon_slave" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <assignment>
    <name>embeddedsw.configuration.isFlash</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isMemoryDevice</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isNonVolatileStorage</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isPrintableDevice</name>
    <value>0</value>
   </assignment>
   <parameter name="addressAlignment">
    <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
    <value>NATIVE</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressSpan">
    <type>java.math.BigInteger</type>
    <value>4</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgedAddressOffset">
    <type>java.math.BigInteger</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgesToMaster">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="explicitAddressSpan">
    <type>java.math.BigInteger</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isFlash">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isMemoryDevice">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isNonVolatileStorage">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="minimumUninterruptedRunLength">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="printableDevice">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitStates">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="transparentBridge">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="wellBehavedWaitrequest">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitStates">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>false</isStart>
   <port>
    <name>address</name>
    <direction>Input</direction>
    <width>2</width>
    <role>address</role>
   </port>
   <port>
    <name>write_n</name>
    <direction>Input</direction>
    <width>1</width>
    <role>write_n</role>
   </port>
   <port>
    <name>writedata</name>
    <direction>Input</direction>
    <width>32</width>
    <role>writedata</role>
   </port>
   <port>
    <name>chipselect</name>
    <direction>Input</direction>
    <width>1</width>
    <role>chipselect</role>
   </port>
   <port>
    <name>readdata</name>
    <direction>Output</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
  </interface>
  <interface name="external_connection" kind="conduit_end" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="allowMultipleExportRoles">
    <type>boolean</type>
    <value>true</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>conduit</type>
   <isStart>false</isStart>
   <port>
    <name>in_port</name>
    <direction>Input</direction>
    <width>10</width>
    <role>export</role>
   </port>
  </interface>
  <interface name="irq" kind="interrupt_sender" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <assignment>
    <name>embeddedsw.dts.irq.tx_type</name>
    <value>RISING_EDGE</value>
   </assignment>
   <parameter name="associatedAddressablePoint">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value>sw.s1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgedReceiverOffset">
    <type>java.lang.Integer</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgesToReceiver">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="irqScheme">
    <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
    <value>NONE</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>interrupt</type>
   <isStart>false</isStart>
   <port>
    <name>irq</name>
    <direction>Output</direction>
    <width>1</width>
    <role>irq</role>
   </port>
  </interface>
 </module>
 <module
   name="mm_interconnect_0"
   kind="altera_mm_interconnect"
   version="14.0"
   path="mm_interconnect_0">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <assignment>
   <name>interconnect_id.adc_ltc2308.slave</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>interconnect_id.jtag_uart.avalon_jtag_slave</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>interconnect_id.nios2_qsys.data_master</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>interconnect_id.nios2_qsys.instruction_master</name>
   <value>1</value>
  </assignment>
  <assignment>
   <name>interconnect_id.nios2_qsys.jtag_debug_module</name>
   <value>2</value>
  </assignment>
  <assignment>
   <name>interconnect_id.onchip_memory2.s1</name>
   <value>3</value>
  </assignment>
  <assignment>
   <name>interconnect_id.sw.s1</name>
   <value>4</value>
  </assignment>
  <assignment>
   <name>interconnect_id.sysid_qsys.control_slave</name>
   <value>5</value>
  </assignment>
  <parameter name="COMPOSE_CONTENTS">
   <type>java.lang.String</type>
   <value><![CDATA[add_instance {nios2_qsys_instruction_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READDATAVALID} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};add_instance {nios2_qsys_data_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READDATAVALID} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};add_instance {nios2_qsys_jtag_debug_module_translator} {altera_merlin_slave_translator};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESS_W} {9};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {onchip_memory2_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_W} {16};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READLATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READ_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READ} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_AV_CLKEN} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {sysid_qsys_control_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READ} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {jtag_uart_avalon_jtag_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READ} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {adc_ltc2308_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_DATA_W} {16};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READ} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {sw_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {sw_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {sw_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {sw_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {sw_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {sw_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {sw_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {sw_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {sw_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {sw_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {sw_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {sw_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {sw_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {sw_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {sw_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {sw_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {sw_s1_translator} {USE_READ} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {sw_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {sw_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {sw_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {sw_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {sw_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {sw_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {sw_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {sw_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {sw_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {sw_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {sw_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sw_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sw_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sw_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sw_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {sw_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sw_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {sw_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {sw_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {sw_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {sw_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {sw_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {sw_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {sw_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {sw_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_qsys_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ADDR_MAP} {<?xml version="1.0" encoding="UTF-8"?>
<address_map>
 <slave
   id="2"
   name="nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0"
   start="0x0000000000080800"
   end="0x00000000000081000"
   responds="1"
   user_default="0" />
 <slave
   id="3"
   name="onchip_memory2_s1_translator.avalon_universal_slave_0"
   start="0x0000000000040000"
   end="0x00000000000080000"
   responds="1"
   user_default="0" />
</address_map>
};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ID} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_data_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_data_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_data_master_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_qsys_data_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_data_master_agent} {ADDR_MAP} {<?xml version="1.0" encoding="UTF-8"?>
<address_map>
 <slave
   id="3"
   name="onchip_memory2_s1_translator.avalon_universal_slave_0"
   start="0x0000000000040000"
   end="0x00000000000080000"
   responds="1"
   user_default="0" />
 <slave
   id="2"
   name="nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0"
   start="0x0000000000080800"
   end="0x00000000000081000"
   responds="1"
   user_default="0" />
 <slave
   id="5"
   name="sysid_qsys_control_slave_translator.avalon_universal_slave_0"
   start="0x0000000000081008"
   end="0x00000000000081010"
   responds="1"
   user_default="0" />
 <slave
   id="1"
   name="jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0"
   start="0x0000000000081000"
   end="0x00000000000081008"
   responds="1"
   user_default="0" />
 <slave
   id="0"
   name="adc_ltc2308_slave_translator.avalon_universal_slave_0"
   start="0x0000000000081010"
   end="0x00000000000081018"
   responds="1"
   user_default="0" />
 <slave
   id="4"
   name="sw_s1_translator.avalon_universal_slave_0"
   start="0x0000000000000000"
   end="0x00000000000000010"
   responds="1"
   user_default="0" />
</address_map>
};set_instance_parameter_value {nios2_qsys_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {ID} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {nios2_qsys_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_qsys_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_jtag_debug_module_agent} {altera_merlin_slave_agent};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ID} {2};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {onchip_memory2_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {onchip_memory2_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {onchip_memory2_s1_agent} {ID} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_WRITERESPONSE} {0};add_instance {onchip_memory2_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sysid_qsys_control_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sysid_qsys_control_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ID} {5};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_WRITERESPONSE} {0};add_instance {sysid_qsys_control_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {adc_ltc2308_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {adc_ltc2308_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {adc_ltc2308_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {adc_ltc2308_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {adc_ltc2308_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {adc_ltc2308_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {adc_ltc2308_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {adc_ltc2308_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {adc_ltc2308_slave_agent} {ID} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {USE_WRITERESPONSE} {0};add_instance {adc_ltc2308_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sw_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sw_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sw_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sw_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {sw_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sw_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sw_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sw_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {sw_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {sw_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {sw_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {sw_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {sw_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {sw_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {sw_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {sw_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {sw_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {sw_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {sw_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {sw_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {sw_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {sw_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {sw_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {sw_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {sw_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {sw_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sw_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {sw_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sw_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sw_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sw_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sw_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sw_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sw_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sw_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sw_s1_agent} {ID} {4};set_instance_parameter_value {sw_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sw_s1_agent} {USE_WRITERESPONSE} {0};add_instance {sw_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 2 };set_instance_parameter_value {router} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router} {START_ADDRESS} {0x40000 0x80800 };set_instance_parameter_value {router} {END_ADDRESS} {0x80000 0x81000 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {55};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router} {PKT_TRANS_READ} {59};set_instance_parameter_value {router} {ST_DATA_W} {96};set_instance_parameter_value {router} {ST_CHANNEL_W} {6};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {4 3 2 1 5 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {100000 000010 000001 001000 000100 010000 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both both both read both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x40000 0x80800 0x81000 0x81008 0x81010 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x10 0x80000 0x81000 0x81008 0x81010 0x81018 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 1 1 1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {55};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_001} {ST_DATA_W} {96};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {3};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {1 0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {read both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {55};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_002} {ST_DATA_W} {96};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {1 0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {read both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {55};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_003} {ST_DATA_W} {96};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 };set_instance_parameter_value {router_004} {CHANNEL_ID} {1 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {55};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_004} {ST_DATA_W} {96};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {55};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_005} {ST_DATA_W} {96};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {55};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_006} {ST_DATA_W} {96};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {55};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_007} {ST_DATA_W} {96};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {nios2_qsys_instruction_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PIPELINED} {0};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {VALID_WIDTH} {6};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {REORDER} {0};add_instance {nios2_qsys_data_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PIPELINED} {0};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_data_master_limiter} {VALID_WIDTH} {6};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_data_master_limiter} {REORDER} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {6};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {6};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {6};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {6};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {nios2_qsys_reset_n_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {NUM_RESET_OUTPUTS} {1};add_instance {onchip_memory2_reset1_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {NUM_RESET_OUTPUTS} {1};add_instance {pll_sys_outclk0_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {pll_sys_outclk0_clock_bridge} {EXPLICIT_CLOCK_RATE} {100000000};set_instance_parameter_value {pll_sys_outclk0_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {nios2_qsys_instruction_master_translator.avalon_universal_master_0} {nios2_qsys_instruction_master_agent.av} {avalon};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {defaultConnection} {false};add_connection {nios2_qsys_data_master_translator.avalon_universal_master_0} {nios2_qsys_data_master_agent.av} {avalon};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {defaultConnection} {false};add_connection {nios2_qsys_jtag_debug_module_agent.m0} {nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {nios2_qsys_jtag_debug_module_agent.rf_source} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.in} {avalon_streaming};add_connection {nios2_qsys_jtag_debug_module_agent_rsp_fifo.out} {nios2_qsys_jtag_debug_module_agent.rf_sink} {avalon_streaming};add_connection {nios2_qsys_jtag_debug_module_agent.rdata_fifo_src} {nios2_qsys_jtag_debug_module_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {nios2_qsys_jtag_debug_module_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/nios2_qsys_jtag_debug_module_agent.cp} {qsys_mm.command};add_connection {onchip_memory2_s1_agent.m0} {onchip_memory2_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {onchip_memory2_s1_agent.rf_source} {onchip_memory2_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {onchip_memory2_s1_agent_rsp_fifo.out} {onchip_memory2_s1_agent.rf_sink} {avalon_streaming};add_connection {onchip_memory2_s1_agent.rdata_fifo_src} {onchip_memory2_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {onchip_memory2_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/onchip_memory2_s1_agent.cp} {qsys_mm.command};add_connection {sysid_qsys_control_slave_agent.m0} {sysid_qsys_control_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sysid_qsys_control_slave_agent.rf_source} {sysid_qsys_control_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent_rsp_fifo.out} {sysid_qsys_control_slave_agent.rf_sink} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent.rdata_fifo_src} {sysid_qsys_control_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {sysid_qsys_control_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/sysid_qsys_control_slave_agent.cp} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {adc_ltc2308_slave_agent.m0} {adc_ltc2308_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {adc_ltc2308_slave_agent.rf_source} {adc_ltc2308_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {adc_ltc2308_slave_agent_rsp_fifo.out} {adc_ltc2308_slave_agent.rf_sink} {avalon_streaming};add_connection {adc_ltc2308_slave_agent.rdata_fifo_src} {adc_ltc2308_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {adc_ltc2308_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/adc_ltc2308_slave_agent.cp} {qsys_mm.command};add_connection {sw_s1_agent.m0} {sw_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sw_s1_agent.rf_source} {sw_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sw_s1_agent_rsp_fifo.out} {sw_s1_agent.rf_sink} {avalon_streaming};add_connection {sw_s1_agent.rdata_fifo_src} {sw_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {sw_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/sw_s1_agent.cp} {qsys_mm.command};add_connection {nios2_qsys_instruction_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_agent.cp/router.sink} {qsys_mm.command};add_connection {nios2_qsys_data_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {nios2_qsys_jtag_debug_module_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_jtag_debug_module_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {onchip_memory2_s1_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {onchip_memory2_s1_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {sysid_qsys_control_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {sysid_qsys_control_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {adc_ltc2308_slave_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {adc_ltc2308_slave_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {sw_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {sw_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {router.src} {nios2_qsys_instruction_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router.src/nios2_qsys_instruction_master_limiter.cmd_sink} {qsys_mm.command};add_connection {nios2_qsys_instruction_master_limiter.cmd_src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_limiter.cmd_src/cmd_demux.sink} {qsys_mm.command};add_connection {rsp_mux.src} {nios2_qsys_instruction_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/nios2_qsys_instruction_master_limiter.rsp_sink} {qsys_mm.response};add_connection {nios2_qsys_instruction_master_limiter.rsp_src} {nios2_qsys_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_limiter.rsp_src/nios2_qsys_instruction_master_agent.rp} {qsys_mm.response};add_connection {router_001.src} {nios2_qsys_data_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router_001.src/nios2_qsys_data_master_limiter.cmd_sink} {qsys_mm.command};add_connection {nios2_qsys_data_master_limiter.cmd_src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_limiter.cmd_src/cmd_demux_001.sink} {qsys_mm.command};add_connection {rsp_mux_001.src} {nios2_qsys_data_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/nios2_qsys_data_master_limiter.rsp_sink} {qsys_mm.response};add_connection {nios2_qsys_data_master_limiter.rsp_src} {nios2_qsys_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_limiter.rsp_src/nios2_qsys_data_master_agent.rp} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_001.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src1} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src1/rsp_mux_001.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux_001.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux_001.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux_001.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux_001.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux_001.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux_001.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux_001.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux_001.sink5} {qsys_mm.response};add_connection {nios2_qsys_instruction_master_limiter.cmd_valid} {cmd_demux.sink_valid} {avalon_streaming};add_connection {nios2_qsys_data_master_limiter.cmd_valid} {cmd_demux_001.sink_valid} {avalon_streaming};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_limiter.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_limiter.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_limiter.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_limiter.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_reset_n_reset_bridge.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_reset1_reset_bridge.clk} {clock};add_interface {pll_sys_outclk0} {clock} {slave};set_interface_property {pll_sys_outclk0} {EXPORT_OF} {pll_sys_outclk0_clock_bridge.in_clk};add_interface {nios2_qsys_reset_n_reset_bridge_in_reset} {reset} {slave};set_interface_property {nios2_qsys_reset_n_reset_bridge_in_reset} {EXPORT_OF} {nios2_qsys_reset_n_reset_bridge.in_reset};add_interface {onchip_memory2_reset1_reset_bridge_in_reset} {reset} {slave};set_interface_property {onchip_memory2_reset1_reset_bridge_in_reset} {EXPORT_OF} {onchip_memory2_reset1_reset_bridge.in_reset};add_interface {nios2_qsys_data_master} {avalon} {slave};set_interface_property {nios2_qsys_data_master} {EXPORT_OF} {nios2_qsys_data_master_translator.avalon_anti_master_0};add_interface {nios2_qsys_instruction_master} {avalon} {slave};set_interface_property {nios2_qsys_instruction_master} {EXPORT_OF} {nios2_qsys_instruction_master_translator.avalon_anti_master_0};add_interface {adc_ltc2308_slave} {avalon} {master};set_interface_property {adc_ltc2308_slave} {EXPORT_OF} {adc_ltc2308_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {nios2_qsys_jtag_debug_module} {avalon} {master};set_interface_property {nios2_qsys_jtag_debug_module} {EXPORT_OF} {nios2_qsys_jtag_debug_module_translator.avalon_anti_slave_0};add_interface {onchip_memory2_s1} {avalon} {master};set_interface_property {onchip_memory2_s1} {EXPORT_OF} {onchip_memory2_s1_translator.avalon_anti_slave_0};add_interface {sw_s1} {avalon} {master};set_interface_property {sw_s1} {EXPORT_OF} {sw_s1_translator.avalon_anti_slave_0};add_interface {sysid_qsys_control_slave} {avalon} {master};set_interface_property {sysid_qsys_control_slave} {EXPORT_OF} {sysid_qsys_control_slave_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.adc_ltc2308.slave} {0};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.nios2_qsys.data_master} {0};set_module_assignment {interconnect_id.nios2_qsys.instruction_master} {1};set_module_assignment {interconnect_id.nios2_qsys.jtag_debug_module} {2};set_module_assignment {interconnect_id.onchip_memory2.s1} {3};set_module_assignment {interconnect_id.sw.s1} {4};set_module_assignment {interconnect_id.sysid_qsys.control_slave} {5};]]></value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="AUTO_DEVICE_FAMILY">
   <type>java.lang.String</type>
   <value>CYCLONEV</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  </parameter>
  <parameter name="AUTO_DEVICE">
   <type>java.lang.String</type>
   <value>5CSEMA4U23C6</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>DEVICE</sysinfo_type>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>Cyclone V</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="pll_sys_outclk0" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>pll_sys_outclk0_clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface
     name="nios2_qsys_reset_n_reset_bridge_in_reset"
     kind="reset_sink"
     version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>pll_sys_outclk0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>nios2_qsys_reset_n_reset_bridge_in_reset_reset</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset</role>
   </port>
  </interface>
  <interface
     name="onchip_memory2_reset1_reset_bridge_in_reset"
     kind="reset_sink"
     version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>pll_sys_outclk0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>onchip_memory2_reset1_reset_bridge_in_reset_reset</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset</role>
   </port>
  </interface>
  <interface name="nios2_qsys_data_master" kind="avalon_slave" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <assignment>
    <name>embeddedsw.configuration.isFlash</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isMemoryDevice</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isNonVolatileStorage</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isPrintableDevice</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>merlin.flow.avalon_universal_master_0</name>
    <value>avalon_universal_master_0</value>
   </assignment>
   <parameter name="addressAlignment">
    <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
    <value>DYNAMIC</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressSpan">
    <type>java.math.BigInteger</type>
    <value>1048576</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>SYMBOLS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>pll_sys_outclk0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>nios2_qsys_reset_n_reset_bridge_in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgedAddressOffset">
    <type>java.math.BigInteger</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgesToMaster">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="explicitAddressSpan">
    <type>java.math.BigInteger</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isFlash">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isMemoryDevice">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isNonVolatileStorage">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>64</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="minimumUninterruptedRunLength">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="printableDevice">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitStates">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="transparentBridge">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="wellBehavedWaitrequest">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitStates">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>false</isStart>
   <port>
    <name>nios2_qsys_data_master_address</name>
    <direction>Input</direction>
    <width>20</width>
    <role>address</role>
   </port>
   <port>
    <name>nios2_qsys_data_master_waitrequest</name>
    <direction>Output</direction>
    <width>1</width>
    <role>waitrequest</role>
   </port>
   <port>
    <name>nios2_qsys_data_master_byteenable</name>
    <direction>Input</direction>
    <width>4</width>
    <role>byteenable</role>
   </port>
   <port>
    <name>nios2_qsys_data_master_read</name>
    <direction>Input</direction>
    <width>1</width>
    <role>read</role>
   </port>
   <port>
    <name>nios2_qsys_data_master_readdata</name>
    <direction>Output</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
   <port>
    <name>nios2_qsys_data_master_readdatavalid</name>
    <direction>Output</direction>
    <width>1</width>
    <role>readdatavalid</role>
   </port>
   <port>
    <name>nios2_qsys_data_master_write</name>
    <direction>Input</direction>
    <width>1</width>
    <role>write</role>
   </port>
   <port>
    <name>nios2_qsys_data_master_writedata</name>
    <direction>Input</direction>
    <width>32</width>
    <role>writedata</role>
   </port>
   <port>
    <name>nios2_qsys_data_master_debugaccess</name>
    <direction>Input</direction>
    <width>1</width>
    <role>debugaccess</role>
   </port>
  </interface>
  <interface
     name="nios2_qsys_instruction_master"
     kind="avalon_slave"
     version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <assignment>
    <name>embeddedsw.configuration.isFlash</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isMemoryDevice</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isNonVolatileStorage</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>embeddedsw.configuration.isPrintableDevice</name>
    <value>0</value>
   </assignment>
   <assignment>
    <name>merlin.flow.avalon_universal_master_0</name>
    <value>avalon_universal_master_0</value>
   </assignment>
   <parameter name="addressAlignment">
    <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
    <value>DYNAMIC</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressSpan">
    <type>java.math.BigInteger</type>
    <value>1048576</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>SYMBOLS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>pll_sys_outclk0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>nios2_qsys_reset_n_reset_bridge_in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgedAddressOffset">
    <type>java.math.BigInteger</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgesToMaster">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="explicitAddressSpan">
    <type>java.math.BigInteger</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isFlash">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isMemoryDevice">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isNonVolatileStorage">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>64</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>false</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="minimumUninterruptedRunLength">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="printableDevice">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitStates">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="transparentBridge">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="wellBehavedWaitrequest">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitStates">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>false</isStart>
   <port>
    <name>nios2_qsys_instruction_master_address</name>
    <direction>Input</direction>
    <width>20</width>
    <role>address</role>
   </port>
   <port>
    <name>nios2_qsys_instruction_master_waitrequest</name>
    <direction>Output</direction>
    <width>1</width>
    <role>waitrequest</role>
   </port>
   <port>
    <name>nios2_qsys_instruction_master_read</name>
    <direction>Input</direction>
    <width>1</width>
    <role>read</role>
   </port>
   <port>
    <name>nios2_qsys_instruction_master_readdata</name>
    <direction>Output</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
   <port>
    <name>nios2_qsys_instruction_master_readdatavalid</name>
    <direction>Output</direction>
    <width>1</width>
    <role>readdatavalid</role>
   </port>
  </interface>
  <interface name="adc_ltc2308_slave" kind="avalon_master" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="adaptsTo">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>pll_sys_outclk0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>onchip_memory2_reset1_reset_bridge_in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="dBSBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamReads">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamWrites">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isAsynchronous">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isReadable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isWriteable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maxAddressWidth">
    <type>int</type>
    <value>32</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>true</isStart>
   <port>
    <name>adc_ltc2308_slave_address</name>
    <direction>Output</direction>
    <width>1</width>
    <role>address</role>
   </port>
   <port>
    <name>adc_ltc2308_slave_write</name>
    <direction>Output</direction>
    <width>1</width>
    <role>write</role>
   </port>
   <port>
    <name>adc_ltc2308_slave_read</name>
    <direction>Output</direction>
    <width>1</width>
    <role>read</role>
   </port>
   <port>
    <name>adc_ltc2308_slave_readdata</name>
    <direction>Input</direction>
    <width>16</width>
    <role>readdata</role>
   </port>
   <port>
    <name>adc_ltc2308_slave_writedata</name>
    <direction>Output</direction>
    <width>16</width>
    <role>writedata</role>
   </port>
   <port>
    <name>adc_ltc2308_slave_chipselect</name>
    <direction>Output</direction>
    <width>1</width>
    <role>chipselect</role>
   </port>
   <memoryBlock>
    <isBridge>false</isBridge>
    <moduleName>adc_ltc2308</moduleName>
    <slaveName>slave</slaveName>
    <name>adc_ltc2308.slave</name>
    <baseAddress>0</baseAddress>
    <span>8</span>
   </memoryBlock>
  </interface>
  <interface
     name="jtag_uart_avalon_jtag_slave"
     kind="avalon_master"
     version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="adaptsTo">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>pll_sys_outclk0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>onchip_memory2_reset1_reset_bridge_in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="dBSBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamReads">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamWrites">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isAsynchronous">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isReadable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isWriteable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maxAddressWidth">
    <type>int</type>
    <value>32</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>true</isStart>
   <port>
    <name>jtag_uart_avalon_jtag_slave_address</name>
    <direction>Output</direction>
    <width>1</width>
    <role>address</role>
   </port>
   <port>
    <name>jtag_uart_avalon_jtag_slave_write</name>
    <direction>Output</direction>
    <width>1</width>
    <role>write</role>
   </port>
   <port>
    <name>jtag_uart_avalon_jtag_slave_read</name>
    <direction>Output</direction>
    <width>1</width>
    <role>read</role>
   </port>
   <port>
    <name>jtag_uart_avalon_jtag_slave_readdata</name>
    <direction>Input</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
   <port>
    <name>jtag_uart_avalon_jtag_slave_writedata</name>
    <direction>Output</direction>
    <width>32</width>
    <role>writedata</role>
   </port>
   <port>
    <name>jtag_uart_avalon_jtag_slave_waitrequest</name>
    <direction>Input</direction>
    <width>1</width>
    <role>waitrequest</role>
   </port>
   <port>
    <name>jtag_uart_avalon_jtag_slave_chipselect</name>
    <direction>Output</direction>
    <width>1</width>
    <role>chipselect</role>
   </port>
   <memoryBlock>
    <isBridge>false</isBridge>
    <moduleName>jtag_uart</moduleName>
    <slaveName>avalon_jtag_slave</slaveName>
    <name>jtag_uart.avalon_jtag_slave</name>
    <baseAddress>0</baseAddress>
    <span>8</span>
   </memoryBlock>
  </interface>
  <interface
     name="nios2_qsys_jtag_debug_module"
     kind="avalon_master"
     version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="adaptsTo">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>pll_sys_outclk0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>nios2_qsys_reset_n_reset_bridge_in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="dBSBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamReads">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamWrites">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isAsynchronous">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isReadable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isWriteable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maxAddressWidth">
    <type>int</type>
    <value>32</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>true</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>true</isStart>
   <port>
    <name>nios2_qsys_jtag_debug_module_address</name>
    <direction>Output</direction>
    <width>9</width>
    <role>address</role>
   </port>
   <port>
    <name>nios2_qsys_jtag_debug_module_write</name>
    <direction>Output</direction>
    <width>1</width>
    <role>write</role>
   </port>
   <port>
    <name>nios2_qsys_jtag_debug_module_read</name>
    <direction>Output</direction>
    <width>1</width>
    <role>read</role>
   </port>
   <port>
    <name>nios2_qsys_jtag_debug_module_readdata</name>
    <direction>Input</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
   <port>
    <name>nios2_qsys_jtag_debug_module_writedata</name>
    <direction>Output</direction>
    <width>32</width>
    <role>writedata</role>
   </port>
   <port>
    <name>nios2_qsys_jtag_debug_module_byteenable</name>
    <direction>Output</direction>
    <width>4</width>
    <role>byteenable</role>
   </port>
   <port>
    <name>nios2_qsys_jtag_debug_module_waitrequest</name>
    <direction>Input</direction>
    <width>1</width>
    <role>waitrequest</role>
   </port>
   <port>
    <name>nios2_qsys_jtag_debug_module_debugaccess</name>
    <direction>Output</direction>
    <width>1</width>
    <role>debugaccess</role>
   </port>
   <memoryBlock>
    <isBridge>false</isBridge>
    <moduleName>nios2_qsys</moduleName>
    <slaveName>jtag_debug_module</slaveName>
    <name>nios2_qsys.jtag_debug_module</name>
    <baseAddress>0</baseAddress>
    <span>2048</span>
   </memoryBlock>
  </interface>
  <interface name="onchip_memory2_s1" kind="avalon_master" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="adaptsTo">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>pll_sys_outclk0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>onchip_memory2_reset1_reset_bridge_in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="dBSBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamReads">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamWrites">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isAsynchronous">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isReadable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isWriteable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maxAddressWidth">
    <type>int</type>
    <value>32</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>true</isStart>
   <port>
    <name>onchip_memory2_s1_address</name>
    <direction>Output</direction>
    <width>16</width>
    <role>address</role>
   </port>
   <port>
    <name>onchip_memory2_s1_write</name>
    <direction>Output</direction>
    <width>1</width>
    <role>write</role>
   </port>
   <port>
    <name>onchip_memory2_s1_readdata</name>
    <direction>Input</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
   <port>
    <name>onchip_memory2_s1_writedata</name>
    <direction>Output</direction>
    <width>32</width>
    <role>writedata</role>
   </port>
   <port>
    <name>onchip_memory2_s1_byteenable</name>
    <direction>Output</direction>
    <width>4</width>
    <role>byteenable</role>
   </port>
   <port>
    <name>onchip_memory2_s1_chipselect</name>
    <direction>Output</direction>
    <width>1</width>
    <role>chipselect</role>
   </port>
   <port>
    <name>onchip_memory2_s1_clken</name>
    <direction>Output</direction>
    <width>1</width>
    <role>clken</role>
   </port>
   <memoryBlock>
    <isBridge>false</isBridge>
    <moduleName>onchip_memory2</moduleName>
    <slaveName>s1</slaveName>
    <name>onchip_memory2.s1</name>
    <baseAddress>0</baseAddress>
    <span>160000</span>
   </memoryBlock>
  </interface>
  <interface name="sw_s1" kind="avalon_master" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="adaptsTo">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>pll_sys_outclk0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>onchip_memory2_reset1_reset_bridge_in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="dBSBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamReads">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamWrites">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isAsynchronous">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isReadable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isWriteable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maxAddressWidth">
    <type>int</type>
    <value>32</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>true</isStart>
   <port>
    <name>sw_s1_address</name>
    <direction>Output</direction>
    <width>2</width>
    <role>address</role>
   </port>
   <port>
    <name>sw_s1_write</name>
    <direction>Output</direction>
    <width>1</width>
    <role>write</role>
   </port>
   <port>
    <name>sw_s1_readdata</name>
    <direction>Input</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
   <port>
    <name>sw_s1_writedata</name>
    <direction>Output</direction>
    <width>32</width>
    <role>writedata</role>
   </port>
   <port>
    <name>sw_s1_chipselect</name>
    <direction>Output</direction>
    <width>1</width>
    <role>chipselect</role>
   </port>
   <memoryBlock>
    <isBridge>false</isBridge>
    <moduleName>sw</moduleName>
    <slaveName>s1</slaveName>
    <name>sw.s1</name>
    <baseAddress>0</baseAddress>
    <span>16</span>
   </memoryBlock>
  </interface>
  <interface name="sysid_qsys_control_slave" kind="avalon_master" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="adaptsTo">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressGroup">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="addressUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="alwaysBurstMaxBurst">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>pll_sys_outclk0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>onchip_memory2_reset1_reset_bridge_in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bitsPerSymbol">
    <type>int</type>
    <value>8</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstOnBurstBoundariesOnly">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="burstcountUnits">
    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
    <value>WORDS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="constantBurstBehavior">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="dBSBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamReads">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="doStreamWrites">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="holdTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="interleaveBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isAsynchronous">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isBigEndian">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isReadable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="isWriteable">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="linewrapBursts">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maxAddressWidth">
    <type>int</type>
    <value>32</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingReadTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="maximumPendingWriteTransactions">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readLatency">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="readWaitTime">
    <type>int</type>
    <value>1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerIncomingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="registerOutgoingSignals">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="setupTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="timingUnits">
    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
    <value>Cycles</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="writeWaitTime">
    <type>int</type>
    <value>0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>avalon</type>
   <isStart>true</isStart>
   <port>
    <name>sysid_qsys_control_slave_address</name>
    <direction>Output</direction>
    <width>1</width>
    <role>address</role>
   </port>
   <port>
    <name>sysid_qsys_control_slave_readdata</name>
    <direction>Input</direction>
    <width>32</width>
    <role>readdata</role>
   </port>
   <memoryBlock>
    <isBridge>false</isBridge>
    <moduleName>sysid_qsys</moduleName>
    <slaveName>control_slave</slaveName>
    <name>sysid_qsys.control_slave</name>
    <baseAddress>0</baseAddress>
    <span>8</span>
   </memoryBlock>
  </interface>
 </module>
 <module
   name="irq_mapper"
   kind="altera_irq_mapper"
   version="14.0"
   path="irq_mapper">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <parameter name="NUM_RCVRS">
   <type>int</type>
   <value>2</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="SENDER_IRQ_WIDTH">
   <type>int</type>
   <value>32</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="IRQ_MAP">
   <type>java.lang.String</type>
   <value>0:0,1:1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="AUTO_DEVICE_FAMILY">
   <type>java.lang.String</type>
   <value>CYCLONEV</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>Cyclone V</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="clk" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="clk_reset" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>reset</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset</role>
   </port>
  </interface>
  <interface name="receiver0" kind="interrupt_receiver" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedAddressablePoint">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>clk_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="irqMap">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="irqScheme">
    <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
    <value>INDIVIDUAL_REQUESTS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>interrupt</type>
   <isStart>true</isStart>
   <port>
    <name>receiver0_irq</name>
    <direction>Input</direction>
    <width>1</width>
    <role>irq</role>
   </port>
   <interrupt>
    <isBridge>false</isBridge>
    <moduleName>jtag_uart</moduleName>
    <slaveName>irq</slaveName>
    <name>jtag_uart.irq</name>
    <interruptNumber>0</interruptNumber>
   </interrupt>
  </interface>
  <interface name="receiver1" kind="interrupt_receiver" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedAddressablePoint">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>clk_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="irqMap">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="irqScheme">
    <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
    <value>INDIVIDUAL_REQUESTS</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>interrupt</type>
   <isStart>true</isStart>
   <port>
    <name>receiver1_irq</name>
    <direction>Input</direction>
    <width>1</width>
    <role>irq</role>
   </port>
   <interrupt>
    <isBridge>false</isBridge>
    <moduleName>sw</moduleName>
    <slaveName>irq</slaveName>
    <name>sw.irq</name>
    <interruptNumber>0</interruptNumber>
   </interrupt>
  </interface>
  <interface name="sender" kind="interrupt_sender" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedAddressablePoint">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedReset">
    <type>java.lang.String</type>
    <value>clk_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgedReceiverOffset">
    <type>java.lang.Integer</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="bridgesToReceiver">
    <type>com.altera.entityinterfaces.IConnectionPoint</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="irqScheme">
    <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
    <value>NONE</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>interrupt</type>
   <isStart>false</isStart>
   <port>
    <name>sender_irq</name>
    <direction>Output</direction>
    <width>32</width>
    <role>irq</role>
   </port>
  </interface>
 </module>
 <module
   name="rst_controller"
   kind="altera_reset_controller"
   version="14.0"
   path="rst_controller">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <parameter name="NUM_RESET_INPUTS">
   <type>int</type>
   <value>2</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="OUTPUT_RESET_SYNC_EDGES">
   <type>java.lang.String</type>
   <value>deassert</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="SYNC_DEPTH">
   <type>int</type>
   <value>2</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="RESET_REQUEST_PRESENT">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="RESET_REQ_WAIT_TIME">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="MIN_RST_ASSERTION_TIME">
   <type>int</type>
   <value>3</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="RESET_REQ_EARLY_DSRT_TIME">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN0">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN1">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN2">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN3">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN4">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN5">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN6">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN7">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN8">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN9">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN10">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN11">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN12">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN13">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN14">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN15">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_INPUT">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="ADAPT_RESET_REQUEST">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="AUTO_CLK_CLOCK_RATE">
   <type>java.lang.Long</type>
   <value>-1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>CLOCK_RATE</sysinfo_type>
   <sysinfo_arg>clk</sysinfo_arg>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="reset_in0" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>NONE</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>reset_in0</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset</role>
   </port>
  </interface>
  <interface name="reset_in1" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>NONE</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>reset_in1</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset</role>
   </port>
  </interface>
  <interface name="clk" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>java.lang.Boolean</type>
    <value>false</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>java.lang.Long</type>
    <value>-1</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="reset_out" kind="reset_source" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedDirectReset">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedResetSinks">
    <type>[Ljava.lang.String;</type>
    <value>reset_in0,reset_in1</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>true</isStart>
   <port>
    <name>reset_out</name>
    <direction>Output</direction>
    <width>1</width>
    <role>reset</role>
   </port>
   <port>
    <name>reset_req</name>
    <direction>Output</direction>
    <width>1</width>
    <role>reset_req</role>
   </port>
  </interface>
 </module>
 <module
   name="rst_translator"
   kind="altera_reset_translator"
   version="14.0"
   path="rst_translator">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <parameter name="ACTIVE_LOW_RESET">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="SYNCHRONOUS_EDGES">
   <type>java.lang.String</type>
   <value>deassert</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="RESET_REQUEST_INPUT_ENABLE">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="AUTO_CLK_CLOCK_RATE">
   <type>java.lang.Long</type>
   <value>-1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>CLOCK_RATE</sysinfo_type>
   <sysinfo_arg>clk</sysinfo_arg>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="clk" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>java.lang.Boolean</type>
    <value>false</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>java.lang.Long</type>
    <value>-1</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="in_reset" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>in_reset</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset</role>
   </port>
   <port>
    <name>reset_req_in</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset_req</role>
   </port>
  </interface>
  <interface name="out_reset" kind="reset_source" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedDirectReset">
    <type>java.lang.String</type>
    <value>in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedResetSinks">
    <type>[Ljava.lang.String;</type>
    <value>in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>true</isStart>
   <port>
    <name>out_reset</name>
    <direction>Output</direction>
    <width>1</width>
    <role>reset</role>
   </port>
  </interface>
 </module>
 <module
   name="rst_controller_001"
   kind="altera_reset_controller"
   version="14.0"
   path="rst_controller_001">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <parameter name="NUM_RESET_INPUTS">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="OUTPUT_RESET_SYNC_EDGES">
   <type>java.lang.String</type>
   <value>deassert</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="SYNC_DEPTH">
   <type>int</type>
   <value>2</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="RESET_REQUEST_PRESENT">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="RESET_REQ_WAIT_TIME">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="MIN_RST_ASSERTION_TIME">
   <type>int</type>
   <value>3</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="RESET_REQ_EARLY_DSRT_TIME">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN0">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN1">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN2">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN3">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN4">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN5">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN6">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN7">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN8">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN9">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN10">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN11">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN12">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN13">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN14">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN15">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_INPUT">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="ADAPT_RESET_REQUEST">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="AUTO_CLK_CLOCK_RATE">
   <type>java.lang.Long</type>
   <value>-1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>CLOCK_RATE</sysinfo_type>
   <sysinfo_arg>clk</sysinfo_arg>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="reset_in0" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>NONE</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>reset_in0</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset</role>
   </port>
  </interface>
  <interface name="clk" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>java.lang.Boolean</type>
    <value>false</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>java.lang.Long</type>
    <value>-1</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="reset_out" kind="reset_source" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedDirectReset">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedResetSinks">
    <type>[Ljava.lang.String;</type>
    <value>reset_in0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>true</isStart>
   <port>
    <name>reset_out</name>
    <direction>Output</direction>
    <width>1</width>
    <role>reset</role>
   </port>
   <port>
    <name>reset_req</name>
    <direction>Output</direction>
    <width>1</width>
    <role>reset_req</role>
   </port>
  </interface>
 </module>
 <module
   name="rst_translator_001"
   kind="altera_reset_translator"
   version="14.0"
   path="rst_translator_001">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <parameter name="ACTIVE_LOW_RESET">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="SYNCHRONOUS_EDGES">
   <type>java.lang.String</type>
   <value>deassert</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="RESET_REQUEST_INPUT_ENABLE">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="AUTO_CLK_CLOCK_RATE">
   <type>java.lang.Long</type>
   <value>-1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>CLOCK_RATE</sysinfo_type>
   <sysinfo_arg>clk</sysinfo_arg>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="clk" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>java.lang.Boolean</type>
    <value>false</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>java.lang.Long</type>
    <value>-1</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="in_reset" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>in_reset</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset</role>
   </port>
   <port>
    <name>reset_req_in</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset_req</role>
   </port>
  </interface>
  <interface name="out_reset" kind="reset_source" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedDirectReset">
    <type>java.lang.String</type>
    <value>in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedResetSinks">
    <type>[Ljava.lang.String;</type>
    <value>in_reset</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>true</isStart>
   <port>
    <name>out_reset</name>
    <direction>Output</direction>
    <width>1</width>
    <role>reset</role>
   </port>
  </interface>
 </module>
 <module
   name="rst_controller_002"
   kind="altera_reset_controller"
   version="14.0"
   path="rst_controller_002">
  <!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
  <parameter name="NUM_RESET_INPUTS">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="OUTPUT_RESET_SYNC_EDGES">
   <type>java.lang.String</type>
   <value>deassert</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="SYNC_DEPTH">
   <type>int</type>
   <value>2</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="RESET_REQUEST_PRESENT">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="RESET_REQ_WAIT_TIME">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="MIN_RST_ASSERTION_TIME">
   <type>int</type>
   <value>3</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="RESET_REQ_EARLY_DSRT_TIME">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN0">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN1">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN2">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN3">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN4">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN5">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN6">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN7">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN8">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN9">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN10">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN11">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN12">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN13">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN14">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_IN15">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="USE_RESET_REQUEST_INPUT">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="ADAPT_RESET_REQUEST">
   <type>int</type>
   <value>0</value>
   <derived>true</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="AUTO_CLK_CLOCK_RATE">
   <type>java.lang.Long</type>
   <value>-1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>
   <valid>true</valid>
   <sysinfo_type>CLOCK_RATE</sysinfo_type>
   <sysinfo_arg>clk</sysinfo_arg>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <interface name="reset_in0" kind="reset_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>NONE</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>false</isStart>
   <port>
    <name>reset_in0</name>
    <direction>Input</direction>
    <width>1</width>
    <role>reset</role>
   </port>
  </interface>
  <interface name="clk" kind="clock_sink" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="externallyDriven">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="ptfSchematicName">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRateKnown">
    <type>java.lang.Boolean</type>
    <value>false</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="clockRate">
    <type>java.lang.Long</type>
    <value>-1</value>
    <derived>true</derived>
    <enabled>true</enabled>
    <visible>false</visible>
    <valid>true</valid>
   </parameter>
   <type>clock</type>
   <isStart>false</isStart>
   <port>
    <name>clk</name>
    <direction>Input</direction>
    <width>1</width>
    <role>clk</role>
   </port>
  </interface>
  <interface name="reset_out" kind="reset_source" version="14.0">
   <!-- The connection points exposed by a module instance for the
particular module parameters. Connection points and their
parameters are a RESULT of the module parameters. -->
   <parameter name="associatedClock">
    <type>java.lang.String</type>
    <value>clk</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedDirectReset">
    <type>java.lang.String</type>
    <value></value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="associatedResetSinks">
    <type>[Ljava.lang.String;</type>
    <value>reset_in0</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="synchronousEdges">
    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
    <value>DEASSERT</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="deviceFamily">
    <type>java.lang.String</type>
    <value>UNKNOWN</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <parameter name="generateLegacySim">
    <type>boolean</type>
    <value>false</value>
    <derived>false</derived>
    <enabled>true</enabled>
    <visible>true</visible>
    <valid>true</valid>
   </parameter>
   <type>reset</type>
   <isStart>true</isStart>
   <port>
    <name>reset_out</name>
    <direction>Output</direction>
    <width>1</width>
    <role>reset</role>
   </port>
  </interface>
 </module>
 <connection
   name="clk_50.clk/pll_sys.refclk"
   kind="clock"
   version="14.0"
   start="clk_50.clk"
   end="pll_sys.refclk">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>clk_50</startModule>
  <startConnectionPoint>clk</startConnectionPoint>
  <endModule>pll_sys</endModule>
  <endConnectionPoint>refclk</endConnectionPoint>
 </connection>
 <connection
   name="pll_sys.outclk0/jtag_uart.clk"
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="jtag_uart.clk">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>pll_sys</startModule>
  <startConnectionPoint>outclk0</startConnectionPoint>
  <endModule>jtag_uart</endModule>
  <endConnectionPoint>clk</endConnectionPoint>
 </connection>
 <connection
   name="pll_sys.outclk0/sysid_qsys.clk"
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="sysid_qsys.clk">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>pll_sys</startModule>
  <startConnectionPoint>outclk0</startConnectionPoint>
  <endModule>sysid_qsys</endModule>
  <endConnectionPoint>clk</endConnectionPoint>
 </connection>
 <connection
   name="pll_sys.outclk0/onchip_memory2.clk1"
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="onchip_memory2.clk1">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>pll_sys</startModule>
  <startConnectionPoint>outclk0</startConnectionPoint>
  <endModule>onchip_memory2</endModule>
  <endConnectionPoint>clk1</endConnectionPoint>
 </connection>
 <connection
   name="pll_sys.outclk0/nios2_qsys.clk"
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="nios2_qsys.clk">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>pll_sys</startModule>
  <startConnectionPoint>outclk0</startConnectionPoint>
  <endModule>nios2_qsys</endModule>
  <endConnectionPoint>clk</endConnectionPoint>
 </connection>
 <connection
   name="pll_sys.outclk0/adc_ltc2308.clock_sink"
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="adc_ltc2308.clock_sink">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>pll_sys</startModule>
  <startConnectionPoint>outclk0</startConnectionPoint>
  <endModule>adc_ltc2308</endModule>
  <endConnectionPoint>clock_sink</endConnectionPoint>
 </connection>
 <connection
   name="pll_sys.outclk1/adc_ltc2308.clock_sink_adc"
   kind="clock"
   version="14.0"
   start="pll_sys.outclk1"
   end="adc_ltc2308.clock_sink_adc">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>pll_sys</startModule>
  <startConnectionPoint>outclk1</startConnectionPoint>
  <endModule>adc_ltc2308</endModule>
  <endConnectionPoint>clock_sink_adc</endConnectionPoint>
 </connection>
 <connection
   name="pll_sys.outclk0/sw.clk"
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="sw.clk">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>pll_sys</startModule>
  <startConnectionPoint>outclk0</startConnectionPoint>
  <endModule>sw</endModule>
  <endConnectionPoint>clk</endConnectionPoint>
 </connection>
 <connection
   name="nios2_qsys.instruction_master/mm_interconnect_0.nios2_qsys_instruction_master"
   kind="avalon"
   version="14.0"
   start="nios2_qsys.instruction_master"
   end="mm_interconnect_0.nios2_qsys_instruction_master">
  <parameter name="arbitrationPriority">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="baseAddress">
   <type>java.math.BigInteger</type>
   <value>0x0000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="defaultConnection">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>nios2_qsys</startModule>
  <startConnectionPoint>instruction_master</startConnectionPoint>
  <endModule>mm_interconnect_0</endModule>
  <endConnectionPoint>nios2_qsys_instruction_master</endConnectionPoint>
 </connection>
 <connection
   name="pll_sys.outclk0/mm_interconnect_0.pll_sys_outclk0"
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="mm_interconnect_0.pll_sys_outclk0">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>pll_sys</startModule>
  <startConnectionPoint>outclk0</startConnectionPoint>
  <endModule>mm_interconnect_0</endModule>
  <endConnectionPoint>pll_sys_outclk0</endConnectionPoint>
 </connection>
 <connection
   name="nios2_qsys.data_master/mm_interconnect_0.nios2_qsys_data_master"
   kind="avalon"
   version="14.0"
   start="nios2_qsys.data_master"
   end="mm_interconnect_0.nios2_qsys_data_master">
  <parameter name="arbitrationPriority">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="baseAddress">
   <type>java.math.BigInteger</type>
   <value>0x0000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="defaultConnection">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>nios2_qsys</startModule>
  <startConnectionPoint>data_master</startConnectionPoint>
  <endModule>mm_interconnect_0</endModule>
  <endConnectionPoint>nios2_qsys_data_master</endConnectionPoint>
 </connection>
 <connection
   name="mm_interconnect_0.nios2_qsys_jtag_debug_module/nios2_qsys.jtag_debug_module"
   kind="avalon"
   version="14.0"
   start="mm_interconnect_0.nios2_qsys_jtag_debug_module"
   end="nios2_qsys.jtag_debug_module">
  <parameter name="arbitrationPriority">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="baseAddress">
   <type>java.math.BigInteger</type>
   <value>0x0000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="defaultConnection">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>mm_interconnect_0</startModule>
  <startConnectionPoint>nios2_qsys_jtag_debug_module</startConnectionPoint>
  <endModule>nios2_qsys</endModule>
  <endConnectionPoint>jtag_debug_module</endConnectionPoint>
 </connection>
 <connection
   name="mm_interconnect_0.onchip_memory2_s1/onchip_memory2.s1"
   kind="avalon"
   version="14.0"
   start="mm_interconnect_0.onchip_memory2_s1"
   end="onchip_memory2.s1">
  <parameter name="arbitrationPriority">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="baseAddress">
   <type>java.math.BigInteger</type>
   <value>0x0000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="defaultConnection">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>mm_interconnect_0</startModule>
  <startConnectionPoint>onchip_memory2_s1</startConnectionPoint>
  <endModule>onchip_memory2</endModule>
  <endConnectionPoint>s1</endConnectionPoint>
 </connection>
 <connection
   name="mm_interconnect_0.sysid_qsys_control_slave/sysid_qsys.control_slave"
   kind="avalon"
   version="14.0"
   start="mm_interconnect_0.sysid_qsys_control_slave"
   end="sysid_qsys.control_slave">
  <parameter name="arbitrationPriority">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="baseAddress">
   <type>java.math.BigInteger</type>
   <value>0x0000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="defaultConnection">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>mm_interconnect_0</startModule>
  <startConnectionPoint>sysid_qsys_control_slave</startConnectionPoint>
  <endModule>sysid_qsys</endModule>
  <endConnectionPoint>control_slave</endConnectionPoint>
 </connection>
 <connection
   name="mm_interconnect_0.jtag_uart_avalon_jtag_slave/jtag_uart.avalon_jtag_slave"
   kind="avalon"
   version="14.0"
   start="mm_interconnect_0.jtag_uart_avalon_jtag_slave"
   end="jtag_uart.avalon_jtag_slave">
  <parameter name="arbitrationPriority">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="baseAddress">
   <type>java.math.BigInteger</type>
   <value>0x0000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="defaultConnection">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>mm_interconnect_0</startModule>
  <startConnectionPoint>jtag_uart_avalon_jtag_slave</startConnectionPoint>
  <endModule>jtag_uart</endModule>
  <endConnectionPoint>avalon_jtag_slave</endConnectionPoint>
 </connection>
 <connection
   name="mm_interconnect_0.adc_ltc2308_slave/adc_ltc2308.slave"
   kind="avalon"
   version="14.0"
   start="mm_interconnect_0.adc_ltc2308_slave"
   end="adc_ltc2308.slave">
  <parameter name="arbitrationPriority">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="baseAddress">
   <type>java.math.BigInteger</type>
   <value>0x0000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="defaultConnection">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>mm_interconnect_0</startModule>
  <startConnectionPoint>adc_ltc2308_slave</startConnectionPoint>
  <endModule>adc_ltc2308</endModule>
  <endConnectionPoint>slave</endConnectionPoint>
 </connection>
 <connection
   name="mm_interconnect_0.sw_s1/sw.s1"
   kind="avalon"
   version="14.0"
   start="mm_interconnect_0.sw_s1"
   end="sw.s1">
  <parameter name="arbitrationPriority">
   <type>int</type>
   <value>1</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="baseAddress">
   <type>java.math.BigInteger</type>
   <value>0x0000</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="defaultConnection">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>mm_interconnect_0</startModule>
  <startConnectionPoint>sw_s1</startConnectionPoint>
  <endModule>sw</endModule>
  <endConnectionPoint>s1</endConnectionPoint>
 </connection>
 <connection
   name="irq_mapper.receiver0/jtag_uart.irq"
   kind="interrupt"
   version="14.0"
   start="irq_mapper.receiver0"
   end="jtag_uart.irq">
  <parameter name="irqNumber">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>irq_mapper</startModule>
  <startConnectionPoint>receiver0</startConnectionPoint>
  <endModule>jtag_uart</endModule>
  <endConnectionPoint>irq</endConnectionPoint>
 </connection>
 <connection
   name="irq_mapper.receiver1/sw.irq"
   kind="interrupt"
   version="14.0"
   start="irq_mapper.receiver1"
   end="sw.irq">
  <parameter name="irqNumber">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>irq_mapper</startModule>
  <startConnectionPoint>receiver1</startConnectionPoint>
  <endModule>sw</endModule>
  <endConnectionPoint>irq</endConnectionPoint>
 </connection>
 <connection
   name="nios2_qsys.d_irq/irq_mapper.sender"
   kind="interrupt"
   version="14.0"
   start="nios2_qsys.d_irq"
   end="irq_mapper.sender">
  <parameter name="irqNumber">
   <type>int</type>
   <value>0</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>nios2_qsys</startModule>
  <startConnectionPoint>d_irq</startConnectionPoint>
  <endModule>irq_mapper</endModule>
  <endConnectionPoint>sender</endConnectionPoint>
 </connection>
 <connection
   name="pll_sys.outclk0/irq_mapper.clk"
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="irq_mapper.clk">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>pll_sys</startModule>
  <startConnectionPoint>outclk0</startConnectionPoint>
  <endModule>irq_mapper</endModule>
  <endConnectionPoint>clk</endConnectionPoint>
 </connection>
 <connection
   name="rst_controller.reset_out/nios2_qsys.reset_n"
   kind="reset"
   version="14.0"
   start="rst_controller.reset_out"
   end="nios2_qsys.reset_n">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_controller</startModule>
  <startConnectionPoint>reset_out</startConnectionPoint>
  <endModule>nios2_qsys</endModule>
  <endConnectionPoint>reset_n</endConnectionPoint>
 </connection>
 <connection
   name="rst_controller.reset_out/rst_translator.in_reset"
   kind="reset"
   version="14.0"
   start="rst_controller.reset_out"
   end="rst_translator.in_reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_controller</startModule>
  <startConnectionPoint>reset_out</startConnectionPoint>
  <endModule>rst_translator</endModule>
  <endConnectionPoint>in_reset</endConnectionPoint>
 </connection>
 <connection
   name="rst_translator.out_reset/mm_interconnect_0.nios2_qsys_reset_n_reset_bridge_in_reset"
   kind="reset"
   version="14.0"
   start="rst_translator.out_reset"
   end="mm_interconnect_0.nios2_qsys_reset_n_reset_bridge_in_reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_translator</startModule>
  <startConnectionPoint>out_reset</startConnectionPoint>
  <endModule>mm_interconnect_0</endModule>
  <endConnectionPoint>nios2_qsys_reset_n_reset_bridge_in_reset</endConnectionPoint>
 </connection>
 <connection
   name="rst_controller.reset_out/rst_translator.in_reset"
   kind="reset"
   version="14.0"
   start="rst_controller.reset_out"
   end="rst_translator.in_reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_controller</startModule>
  <startConnectionPoint>reset_out</startConnectionPoint>
  <endModule>rst_translator</endModule>
  <endConnectionPoint>in_reset</endConnectionPoint>
 </connection>
 <connection
   name="rst_translator.out_reset/irq_mapper.clk_reset"
   kind="reset"
   version="14.0"
   start="rst_translator.out_reset"
   end="irq_mapper.clk_reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_translator</startModule>
  <startConnectionPoint>out_reset</startConnectionPoint>
  <endModule>irq_mapper</endModule>
  <endConnectionPoint>clk_reset</endConnectionPoint>
 </connection>
 <connection
   name="clk_50.clk_reset/rst_controller.reset_in0"
   kind="reset"
   version="14.0"
   start="clk_50.clk_reset"
   end="rst_controller.reset_in0">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>clk_50</startModule>
  <startConnectionPoint>clk_reset</startConnectionPoint>
  <endModule>rst_controller</endModule>
  <endConnectionPoint>reset_in0</endConnectionPoint>
 </connection>
 <connection
   name="nios2_qsys.jtag_debug_module_reset/rst_controller.reset_in1"
   kind="reset"
   version="14.0"
   start="nios2_qsys.jtag_debug_module_reset"
   end="rst_controller.reset_in1">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>nios2_qsys</startModule>
  <startConnectionPoint>jtag_debug_module_reset</startConnectionPoint>
  <endModule>rst_controller</endModule>
  <endConnectionPoint>reset_in1</endConnectionPoint>
 </connection>
 <connection
   name="pll_sys.outclk0/rst_controller.clk"
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="rst_controller.clk">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>pll_sys</startModule>
  <startConnectionPoint>outclk0</startConnectionPoint>
  <endModule>rst_controller</endModule>
  <endConnectionPoint>clk</endConnectionPoint>
 </connection>
 <connection
   name="pll_sys.outclk0/rst_translator.clk"
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="rst_translator.clk">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>pll_sys</startModule>
  <startConnectionPoint>outclk0</startConnectionPoint>
  <endModule>rst_translator</endModule>
  <endConnectionPoint>clk</endConnectionPoint>
 </connection>
 <connection
   name="rst_controller_001.reset_out/onchip_memory2.reset1"
   kind="reset"
   version="14.0"
   start="rst_controller_001.reset_out"
   end="onchip_memory2.reset1">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_controller_001</startModule>
  <startConnectionPoint>reset_out</startConnectionPoint>
  <endModule>onchip_memory2</endModule>
  <endConnectionPoint>reset1</endConnectionPoint>
 </connection>
 <connection
   name="rst_controller_001.reset_out/rst_translator_001.in_reset"
   kind="reset"
   version="14.0"
   start="rst_controller_001.reset_out"
   end="rst_translator_001.in_reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_controller_001</startModule>
  <startConnectionPoint>reset_out</startConnectionPoint>
  <endModule>rst_translator_001</endModule>
  <endConnectionPoint>in_reset</endConnectionPoint>
 </connection>
 <connection
   name="rst_translator_001.out_reset/sysid_qsys.reset"
   kind="reset"
   version="14.0"
   start="rst_translator_001.out_reset"
   end="sysid_qsys.reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_translator_001</startModule>
  <startConnectionPoint>out_reset</startConnectionPoint>
  <endModule>sysid_qsys</endModule>
  <endConnectionPoint>reset</endConnectionPoint>
 </connection>
 <connection
   name="rst_controller_001.reset_out/rst_translator_001.in_reset"
   kind="reset"
   version="14.0"
   start="rst_controller_001.reset_out"
   end="rst_translator_001.in_reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_controller_001</startModule>
  <startConnectionPoint>reset_out</startConnectionPoint>
  <endModule>rst_translator_001</endModule>
  <endConnectionPoint>in_reset</endConnectionPoint>
 </connection>
 <connection
   name="rst_translator_001.out_reset/jtag_uart.reset"
   kind="reset"
   version="14.0"
   start="rst_translator_001.out_reset"
   end="jtag_uart.reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_translator_001</startModule>
  <startConnectionPoint>out_reset</startConnectionPoint>
  <endModule>jtag_uart</endModule>
  <endConnectionPoint>reset</endConnectionPoint>
 </connection>
 <connection
   name="rst_controller_001.reset_out/rst_translator_001.in_reset"
   kind="reset"
   version="14.0"
   start="rst_controller_001.reset_out"
   end="rst_translator_001.in_reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_controller_001</startModule>
  <startConnectionPoint>reset_out</startConnectionPoint>
  <endModule>rst_translator_001</endModule>
  <endConnectionPoint>in_reset</endConnectionPoint>
 </connection>
 <connection
   name="rst_translator_001.out_reset/adc_ltc2308.reset_sink"
   kind="reset"
   version="14.0"
   start="rst_translator_001.out_reset"
   end="adc_ltc2308.reset_sink">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_translator_001</startModule>
  <startConnectionPoint>out_reset</startConnectionPoint>
  <endModule>adc_ltc2308</endModule>
  <endConnectionPoint>reset_sink</endConnectionPoint>
 </connection>
 <connection
   name="rst_controller_001.reset_out/rst_translator_001.in_reset"
   kind="reset"
   version="14.0"
   start="rst_controller_001.reset_out"
   end="rst_translator_001.in_reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_controller_001</startModule>
  <startConnectionPoint>reset_out</startConnectionPoint>
  <endModule>rst_translator_001</endModule>
  <endConnectionPoint>in_reset</endConnectionPoint>
 </connection>
 <connection
   name="rst_translator_001.out_reset/sw.reset"
   kind="reset"
   version="14.0"
   start="rst_translator_001.out_reset"
   end="sw.reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_translator_001</startModule>
  <startConnectionPoint>out_reset</startConnectionPoint>
  <endModule>sw</endModule>
  <endConnectionPoint>reset</endConnectionPoint>
 </connection>
 <connection
   name="rst_controller_001.reset_out/rst_translator_001.in_reset"
   kind="reset"
   version="14.0"
   start="rst_controller_001.reset_out"
   end="rst_translator_001.in_reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_controller_001</startModule>
  <startConnectionPoint>reset_out</startConnectionPoint>
  <endModule>rst_translator_001</endModule>
  <endConnectionPoint>in_reset</endConnectionPoint>
 </connection>
 <connection
   name="rst_translator_001.out_reset/mm_interconnect_0.onchip_memory2_reset1_reset_bridge_in_reset"
   kind="reset"
   version="14.0"
   start="rst_translator_001.out_reset"
   end="mm_interconnect_0.onchip_memory2_reset1_reset_bridge_in_reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_translator_001</startModule>
  <startConnectionPoint>out_reset</startConnectionPoint>
  <endModule>mm_interconnect_0</endModule>
  <endConnectionPoint>onchip_memory2_reset1_reset_bridge_in_reset</endConnectionPoint>
 </connection>
 <connection
   name="clk_50.clk_reset/rst_controller_001.reset_in0"
   kind="reset"
   version="14.0"
   start="clk_50.clk_reset"
   end="rst_controller_001.reset_in0">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>clk_50</startModule>
  <startConnectionPoint>clk_reset</startConnectionPoint>
  <endModule>rst_controller_001</endModule>
  <endConnectionPoint>reset_in0</endConnectionPoint>
 </connection>
 <connection
   name="pll_sys.outclk0/rst_controller_001.clk"
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="rst_controller_001.clk">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>pll_sys</startModule>
  <startConnectionPoint>outclk0</startConnectionPoint>
  <endModule>rst_controller_001</endModule>
  <endConnectionPoint>clk</endConnectionPoint>
 </connection>
 <connection
   name="pll_sys.outclk0/rst_translator_001.clk"
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="rst_translator_001.clk">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>pll_sys</startModule>
  <startConnectionPoint>outclk0</startConnectionPoint>
  <endModule>rst_translator_001</endModule>
  <endConnectionPoint>clk</endConnectionPoint>
 </connection>
 <connection
   name="rst_controller_002.reset_out/pll_sys.reset"
   kind="reset"
   version="14.0"
   start="rst_controller_002.reset_out"
   end="pll_sys.reset">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>rst_controller_002</startModule>
  <startConnectionPoint>reset_out</startConnectionPoint>
  <endModule>pll_sys</endModule>
  <endConnectionPoint>reset</endConnectionPoint>
 </connection>
 <connection
   name="clk_50.clk_reset/rst_controller_002.reset_in0"
   kind="reset"
   version="14.0"
   start="clk_50.clk_reset"
   end="rst_controller_002.reset_in0">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>clk_50</startModule>
  <startConnectionPoint>clk_reset</startConnectionPoint>
  <endModule>rst_controller_002</endModule>
  <endConnectionPoint>reset_in0</endConnectionPoint>
 </connection>
 <connection
   name="clk_50.clk/rst_controller_002.clk"
   kind="clock"
   version="14.0"
   start="clk_50.clk"
   end="rst_controller_002.clk">
  <parameter name="deviceFamily">
   <type>java.lang.String</type>
   <value>UNKNOWN</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <parameter name="generateLegacySim">
   <type>boolean</type>
   <value>false</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
   <valid>true</valid>
  </parameter>
  <startModule>clk_50</startModule>
  <startConnectionPoint>clk</startConnectionPoint>
  <endModule>rst_controller_002</endModule>
  <endConnectionPoint>clk</endConnectionPoint>
 </connection>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>clock_source</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IModule</subtype>
  <displayName>Clock Source</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>clock_sink</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Clock Input</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>reset_sink</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Reset Input</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>clock_source</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Clock Output</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>reset_source</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Reset Output</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>altera_nios2_qsys</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IModule</subtype>
  <displayName>Nios II Processor</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>15</instanceCount>
  <name>clock_sink</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Clock Input</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>16</instanceCount>
  <name>reset_sink</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Reset Input</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>8</instanceCount>
  <name>avalon_master</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Avalon Memory Mapped Master</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>3</instanceCount>
  <name>interrupt_receiver</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Interrupt Receiver</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>6</instanceCount>
  <name>reset_source</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Reset Output</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>8</instanceCount>
  <name>avalon_slave</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Avalon Memory Mapped Slave</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>nios_custom_instruction_master</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Custom Instruction Master</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>altera_avalon_onchip_memory2</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IModule</subtype>
  <displayName>On-Chip Memory (RAM or ROM)</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>altera_avalon_sysid_qsys</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IModule</subtype>
  <displayName>System ID Peripheral</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>altera_avalon_jtag_uart</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IModule</subtype>
  <displayName>JTAG UART</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>3</instanceCount>
  <name>interrupt_sender</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Interrupt Sender</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>altera_pll</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IModule</subtype>
  <displayName>Altera PLL</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>3</instanceCount>
  <name>clock_source</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Clock Output</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>3</instanceCount>
  <name>conduit_end</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  <displayName>Conduit</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>adc_ltc2308</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IModule</subtype>
  <displayName>adc_ltc2308</displayName>
  <version>1.1</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>altera_avalon_pio</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IModule</subtype>
  <displayName>PIO (Parallel I/O)</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>altera_mm_interconnect</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IModule</subtype>
  <displayName>MM Interconnect</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>1</instanceCount>
  <name>altera_irq_mapper</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IModule</subtype>
  <displayName>Merlin IRQ Mapper</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>3</instanceCount>
  <name>altera_reset_controller</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IModule</subtype>
  <displayName>Merlin Reset Controller</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>2</instanceCount>
  <name>altera_reset_translator</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IModule</subtype>
  <displayName>Reset Translator</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>8</instanceCount>
  <name>clock</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IConnection</subtype>
  <displayName>Clock Connection</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>8</instanceCount>
  <name>avalon</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IConnection</subtype>
  <displayName>Avalon Memory Mapped Connection</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>7</instanceCount>
  <name>clock</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IConnection</subtype>
  <displayName>Clock Connection</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>3</instanceCount>
  <name>interrupt</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IConnection</subtype>
  <displayName>Interrupt Connection</displayName>
  <version>14.0</version>
 </plugin>
 <plugin>
  <instanceCount>21</instanceCount>
  <name>reset</name>
  <type>com.altera.entityinterfaces.IElementClass</type>
  <subtype>com.altera.entityinterfaces.IConnection</subtype>
  <displayName>Reset Connection</displayName>
  <version>14.0</version>
 </plugin>
 <reportVersion>14.0 209</reportVersion>
 <uniqueIdentifier>E0CB4EC816760000014A5C620E47</uniqueIdentifier>
</EnsembleReport>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.