OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [boards/] [de1_board/] [libs/] [uart16550.cr.mti] - Rev 23

Compare with Previous | Blame | View Log

C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/raminfr.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/raminfr.v
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module raminfr

Top level modules:
        raminfr

} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_sync_flops.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_sync_flops.v
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_sync_flops

Top level modules:
        uart_sync_flops

} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_tfifo.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_tfifo.v
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_tfifo

Top level modules:
        uart_tfifo

} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_wb.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_wb.v
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_wb

Top level modules:
        uart_wb

} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_debug_if.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_debug_if.v
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_debug_if

Top level modules:
        uart_debug_if

} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_receiver.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_receiver.v
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_receiver

Top level modules:
        uart_receiver

} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_regs.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_regs.v
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_regs

Top level modules:
        uart_regs

} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_transmitter.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_transmitter.v
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_transmitter

Top level modules:
        uart_transmitter

} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_rfifo.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_rfifo.v
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_rfifo

Top level modules:
        uart_rfifo

} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_top.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_top.v
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_top

Top level modules:
        uart_top

} {} {}}

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.