OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [toolchain/] [scarts-gcc/] [gcc-4.1.1/] [libstdc++-v3/] [testsuite/] [22_locale/] [num_put/] [put/] [char/] [10.cc] - Rev 19

Compare with Previous | Blame | View Log

// 2005-07-11  Paolo Carlini  <pcarlini@suse.de>
 
// Copyright (C) 2005 Free Software Foundation
//
// This file is part of the GNU ISO C++ Library.  This library is free
// software; you can redistribute it and/or modify it under the
// terms of the GNU General Public License as published by the
// Free Software Foundation; either version 2, or (at your option)
// any later version.
 
// This library is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
// GNU General Public License for more details.
 
// You should have received a copy of the GNU General Public License along
// with this library; see the file COPYING.  If not, write to the Free
// Software Foundation, 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301,
// USA.
 
// 22.2.2.2.1  num_put members
 
#include <locale>
#include <sstream>
#include <testsuite_hooks.h>
 
void test01()
{
  using namespace std;
  bool test __attribute__((unused)) = true;
 
  locale loc_c = locale::classic();
 
  const string empty;
 
  stringstream ss;
  ss.imbue(loc_c);
  const num_put<char>& np = use_facet<num_put<char> >(ss.getloc()); 
 
  long l = -1;
  unsigned long ul = 0;
 
  ss.setf(ios::hex, ios::basefield);
  np.put(ss.rdbuf(), ss, '+', l);
  VERIFY( ss.str() != "1" );
  ss >> ul;
  VERIFY( ul == static_cast<unsigned long>(l) );
 
#ifdef _GLIBCXX_USE_LONG_LONG  
  long long ll = -1LL;
  unsigned long long ull = 0ULL;
 
  ss.str(empty);
  ss.clear();
  np.put(ss.rdbuf(), ss, '+', ll);
  VERIFY( ss.str() != "1" );
  ss >> ull;
  VERIFY( ull == static_cast<unsigned long long>(ll) );
#endif
}
 
int main()
{
  test01();
  return 0;
}
 
 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.