OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [vlib/] [generic_clk_100mhz.xdc] - Rev 38

Compare with Previous | Blame | View Log

# -*- tcl -*-
# $Id: generic_clk_100mhz.xdc 777 2016-06-19 20:24:15Z mueller $
#
# Copyright 2016- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
# License disclaimer see LICENSE_gpl_v2.txt in $RETROBASE directory
#
# Generic constraint for pin CLK with a 100 MHz clock
# Helpful for test benches and generic test synthesis
#
# Revision History: 
# Date         Rev Version  Comment
# 2016-06-19   777   1.0    Initial version

create_clock -name CLK -period 10 -waveform {0 5} [get_ports CLK]

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.