OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_ModelSim/] [transcript] - Rev 2

Compare with Previous | Blame | View Log

# Reading C:/intelFPGA/17.0/modelsim_ase/tcl/vsim/pref.tcl
# OpenFile C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v
# Loading project Project_Testbench_Processor
# Compile of VGA_Interface.v was successful.
# Compile of Interrupt_Controller.v was successful.
# Compile of IP_ADD.vhd was successful.
# Compile of IP_COMPARE.vhd was successful.
# Compile of IP_DIVIDE.vhd was successful.
# Compile of IP_MULT.vhd was successful.
# Compile of IP_PLL.vhd was successful.
# Compile of IP_RAM_Data.vhd was successful.
# Compile of IP_ROM_Program.vhd was successful.
# Compile of IP_SUB.vhd was successful.
# Compile of Memory_Arbiter.v was successful.
# Compile of Processor.v failed with 1 errors.
# Compile of Processor_Controller.v was successful.
# Compile of Sprite_Processor.v was successful.
# Compile of Sprite_Shape_Reader.v was successful.
# Compile of TB_Processor.v was successful.
# 16 compiles, 4 failed with 1 error.
# Compile of TB_Processor.v was successful.
# Compile of Genesis_6button_Interface.v was successful.
# Compile of Interrupt_Controller.v was successful.
# Compile of IP_ADD.vhd was successful.
# Compile of IP_COMPARE.vhd was successful.
# Compile of IP_DIVIDE.vhd was successful.
# Compile of IP_MULT.vhd was successful.
# Compile of IP_PLL.vhd was successful.
# Compile of IP_RAM_Data.vhd was successful.
# Compile of IP_ROM_Program.vhd was successful.
# Compile of IP_SUB.vhd was successful.
# Compile of Memory_Arbiter.v was successful.
# Compile of Processor.v failed with 1 errors.
# Compile of Processor_Controller.v was successful.
# Compile of Reset_Synchronizer.v was successful.
# Compile of Sprite_Processor.v was successful.
# Compile of Sprite_Shape_Reader.v was successful.
# Compile of SRAM_Interface.v was successful.
# Compile of VGA_Interface.v was successful.
# 19 compiles, 1 failed with 1 error.
# Compile of TB_Processor.v was successful.
# Compile of Genesis_6button_Interface.v was successful.
# Compile of Interrupt_Controller.v was successful.
# Compile of IP_ADD.vhd was successful.
# Compile of IP_COMPARE.vhd was successful.
# Compile of IP_DIVIDE.vhd was successful.
# Compile of IP_MULT.vhd was successful.
# Compile of IP_PLL.vhd was successful.
# Compile of IP_RAM_Data.vhd was successful.
# Compile of IP_ROM_Program.vhd was successful.
# Compile of IP_SUB.vhd was successful.
# Compile of Memory_Arbiter.v was successful.
# Compile of Processor.v failed with 1 errors.
# Compile of Processor_Controller.v was successful.
# Compile of Reset_Synchronizer.v was successful.
# Compile of Sprite_Processor.v was successful.
# Compile of Sprite_Shape_Reader.v was successful.
# Compile of SRAM_Interface.v was successful.
# Compile of VGA_Interface.v was successful.
# 19 compiles, 1 failed with 1 error.
# Error opening C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/(vlog-13069) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v
# Path name 'C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/(vlog-13069) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v' doesn't exist.
# Compile of TB_Processor.v was successful.
# Compile of Genesis_6button_Interface.v was successful.
# Compile of Interrupt_Controller.v was successful.
# Compile of IP_ADD.vhd was successful.
# Compile of IP_COMPARE.vhd was successful.
# Compile of IP_DIVIDE.vhd was successful.
# Compile of IP_MULT.vhd was successful.
# Compile of IP_PLL.vhd was successful.
# Compile of IP_RAM_Data.vhd was successful.
# Compile of IP_ROM_Program.vhd was successful.
# Compile of IP_SUB.vhd was successful.
# Compile of Memory_Arbiter.v was successful.
# Compile of Processor.v was successful.
# Compile of Processor_Controller.v was successful.
# Compile of Reset_Synchronizer.v was successful.
# Compile of Sprite_Processor.v was successful.
# Compile of Sprite_Shape_Reader.v was successful.
# Compile of SRAM_Interface.v was successful.
# Compile of VGA_Interface.v was successful.
# 19 compiles, 0 failed with no errors.
# Compile of TB_Processor.v was successful.
# Compile of Genesis_6button_Interface.v was successful.
# Compile of Interrupt_Controller.v was successful.
# Compile of IP_ADD.vhd was successful.
# Compile of IP_COMPARE.vhd was successful.
# Compile of IP_DIVIDE.vhd was successful.
# Compile of IP_MULT.vhd was successful.
# Compile of IP_PLL.vhd was successful.
# Compile of IP_RAM_Data.vhd was successful.
# Compile of IP_ROM_Program.vhd was successful.
# Compile of IP_SUB.vhd was successful.
# Compile of Memory_Arbiter.v was successful.
# Compile of Processor.v was successful.
# Compile of Processor_Controller.v was successful.
# Compile of Reset_Synchronizer.v was successful.
# Compile of Sprite_Processor.v was successful.
# Compile of Sprite_Shape_Reader.v was successful.
# Compile of SRAM_Interface.v was successful.
# Compile of VGA_Interface.v was successful.
# 19 compiles, 0 failed with no errors.
vsim -gui work.TB_Processor
# vsim -gui work.TB_Processor 
# Start time: 22:27:25 on Jul 15,2018
# Loading work.TB_Processor
# Loading work.Processor
# Loading work.Sprite_Processor
# Loading work.Memory_Arbiter
# Loading work.SRAM_Interface
# Loading work.Interrupt_Controller
# Loading work.Processor_Controller
# Loading work.Sprite_Shape_Reader
# Loading work.Genesis_6button_Interface
# Loading work.Reset_Synchronizer
# Loading work.VGA_Interface
# Loading std.standard
# Loading std.textio(body)
# Loading ieee.std_logic_1164(body)
# Loading altera_mf.altera_mf_components
# Loading work.ip_rom_program(syn)
# Loading ieee.std_logic_arith(body)
# Loading ieee.std_logic_unsigned(body)
# Loading altera_mf.altera_common_conversion(body)
# Loading altera_mf.altera_device_families(body)
# Loading altera_mf.altsyncram(translated)
# Loading work.ip_pll(syn)
# Loading altera_mf.mf_pllpack(body)
# Loading altera_mf.altpll(behavior)
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
# ** Warning: (vsim-3479) Time unit 'ps' is less than the simulator resolution (1ns).
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst17/altpll_component/CYCLONEIII_ALTPLL/M5
# Loading altera_mf.mf_cda_mn_cntr(behave)
# Loading altera_mf.mf_cda_scale_cntr(behave)
# Loading work.ip_mult(syn)
# Loading lpm.lpm_components
# Loading lpm.lpm_hint_evaluation(body)
# Loading lpm.lpm_mult(lpm_syn)
# Loading work.ip_ram_data(syn)
# Loading work.ip_add(syn)
# Loading ieee.std_logic_signed(body)
# Loading lpm.lpm_add_sub(lpm_syn)
# Loading lpm.lpm_add_sub_signed(lpm_syn)
# Loading work.ip_sub(syn)
# Loading work.ip_divide(syn)
# Loading lpm.lpm_divide(behave)
# Loading work.ip_compare(syn)
# Loading lpm.lpm_compare(lpm_syn)
# Loading lpm.lpm_compare_signed(lpm_syn)
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Too few port connections. Expected 122, found 104.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_DQ'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_UB_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_LB_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_CE_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_OE_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_WE_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_up'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_down'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_left'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_right'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_b'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_c'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_a'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_start'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_z'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_y'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_x'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_ADDR'.
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Too few port connections. Expected 47, found 25.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst10 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Memory_Arbiter.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_2'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_0'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_4'.
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Too few port connections. Expected 21, found 15.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst12 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Interrupt_Controller.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_1'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_2'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_3'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_1'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_2'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_3'.
# ** Warning: (vsim-8822) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(518): [TFMPC] - Missing Verilog connection for formal VHDL port 'c0'.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst17 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_PLL.vhd
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Too few port connections. Expected 21, found 19.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst21 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Sprite_Shape_Reader.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_A_shape'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_B_shape'.
# ** Warning: Design size of 19477 statements exceeds ModelSim-Intel FPGA Starter Edition recommended capacity.
# Expect performance to be adversely affected.
run -all
# 
# 
# CPU SLEEP OFF!!
# 
# 
# Success!!
# 
# 
# CPU SLEEP ON!!
restart
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Too few port connections. Expected 122, found 104.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_DQ'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_UB_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_LB_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_CE_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_OE_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_WE_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_up'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_down'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_left'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_right'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_b'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_c'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_a'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_start'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_z'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_y'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_x'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_ADDR'.
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Too few port connections. Expected 47, found 25.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst10 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Memory_Arbiter.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_2'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_0'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_4'.
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Too few port connections. Expected 21, found 15.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst12 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Interrupt_Controller.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_1'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_2'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_3'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_1'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_2'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_3'.
# ** Warning: (vsim-8822) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(518): [TFMPC] - Missing Verilog connection for formal VHDL port 'c0'.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst17 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_PLL.vhd
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Too few port connections. Expected 21, found 19.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst21 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Sprite_Shape_Reader.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_A_shape'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_B_shape'.
run -all
# 
# 
# CPU SLEEP OFF!!
# 
# 
# Success!!
# 
# 
# CPU SLEEP ON!!
# 
# 
# CPU SLEEP OFF!!
# 
# 
# Success!!
# 
# Interrupt!!
# 
# Interrupt Return!!
# 
# 
# Success!!
# 
# 
# Success!!
# 
# 
# CPU SLEEP ON!!
# 
# 
# CPU SLEEP OFF!!
# 
# 
# Success!!
# 
# Interrupt!!
# 
# Interrupt Return!!
# 
# 
# Success!!
# 
# 
# CPU SLEEP ON!!
# 
# 
# CPU SLEEP OFF!!
# 
# 
# Success!!
# 
# Interrupt!!
# 
# Interrupt Return!!
# 
# 
# Success!!
# 
# 
# Success!!
# 
# 
# CPU SLEEP ON!!
# 
# 
# CPU SLEEP OFF!!
# 
# 
# Success!!
# 
# Interrupt!!
# 
# Interrupt Return!!
# 
# 
# Success!!
# 
# 
# CPU SLEEP ON!!
# 
# 
# STOP!!
# ** Note: $stop    : C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(654)
#    Time: 80 ms  Iteration: 0  Instance: /TB_Processor
# Break in Module TB_Processor at C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v line 654
quit -sim
# End time: 22:52:08 on Jul 15,2018, Elapsed time: 0:24:43
# Errors: 0, Warnings: 108
# Compile of TB_Processor.v was successful.
# Compile of Genesis_6button_Interface.v was successful.
# Compile of Interrupt_Controller.v was successful.
# Compile of IP_ADD.vhd was successful.
# Compile of IP_COMPARE.vhd was successful.
# Compile of IP_DIVIDE.vhd was successful.
# Compile of IP_MULT.vhd was successful.
# Compile of IP_PLL.vhd was successful.
# Compile of IP_RAM_Data.vhd was successful.
# Compile of IP_ROM_Program.vhd was successful.
# Compile of IP_SUB.vhd was successful.
# Compile of Memory_Arbiter.v was successful.
# Compile of Processor.v was successful.
# Compile of Processor_Controller.v was successful.
# Compile of Reset_Synchronizer.v was successful.
# Compile of Sprite_Processor.v was successful.
# Compile of Sprite_Shape_Reader.v was successful.
# Compile of SRAM_Interface.v was successful.
# Compile of VGA_Interface.v was successful.
# 19 compiles, 0 failed with no errors.
vsim -gui work.TB_Processor
# vsim -gui work.TB_Processor 
# Start time: 22:56:23 on Jul 15,2018
# Loading work.TB_Processor
# Loading work.Processor
# Loading work.Sprite_Processor
# Loading work.Memory_Arbiter
# Loading work.SRAM_Interface
# Loading work.Interrupt_Controller
# Loading work.Processor_Controller
# Loading work.Sprite_Shape_Reader
# Loading work.Genesis_6button_Interface
# Loading work.Reset_Synchronizer
# Loading work.VGA_Interface
# Loading std.standard
# Loading std.textio(body)
# Loading ieee.std_logic_1164(body)
# Loading altera_mf.altera_mf_components
# Loading work.ip_rom_program(syn)
# Loading ieee.std_logic_arith(body)
# Loading ieee.std_logic_unsigned(body)
# Loading altera_mf.altera_common_conversion(body)
# Loading altera_mf.altera_device_families(body)
# Loading altera_mf.altsyncram(translated)
# Loading work.ip_pll(syn)
# Loading altera_mf.mf_pllpack(body)
# Loading altera_mf.altpll(behavior)
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
# ** Warning: (vsim-3479) Time unit 'ps' is less than the simulator resolution (1ns).
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst17/altpll_component/CYCLONEIII_ALTPLL/M5
# Loading altera_mf.mf_cda_mn_cntr(behave)
# Loading altera_mf.mf_cda_scale_cntr(behave)
# Loading work.ip_mult(syn)
# Loading lpm.lpm_components
# Loading lpm.lpm_hint_evaluation(body)
# Loading lpm.lpm_mult(lpm_syn)
# Loading work.ip_ram_data(syn)
# Loading work.ip_add(syn)
# Loading ieee.std_logic_signed(body)
# Loading lpm.lpm_add_sub(lpm_syn)
# Loading lpm.lpm_add_sub_signed(lpm_syn)
# Loading work.ip_sub(syn)
# Loading work.ip_divide(syn)
# Loading lpm.lpm_divide(behave)
# Loading work.ip_compare(syn)
# Loading lpm.lpm_compare(lpm_syn)
# Loading lpm.lpm_compare_signed(lpm_syn)
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Too few port connections. Expected 122, found 104.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_DQ'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_UB_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_LB_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_CE_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_OE_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_WE_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_up'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_down'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_left'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_right'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_b'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_c'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_a'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_start'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_z'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_y'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_x'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_ADDR'.
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Too few port connections. Expected 47, found 25.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst10 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Memory_Arbiter.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_2'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_0'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_4'.
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Too few port connections. Expected 21, found 15.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst12 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Interrupt_Controller.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_1'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_2'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_3'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_1'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_2'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_3'.
# ** Warning: (vsim-8822) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(518): [TFMPC] - Missing Verilog connection for formal VHDL port 'c0'.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst17 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_PLL.vhd
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Too few port connections. Expected 21, found 19.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst21 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Sprite_Shape_Reader.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_A_shape'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_B_shape'.
# ** Warning: Design size of 19477 statements exceeds ModelSim-Intel FPGA Starter Edition recommended capacity.
# Expect performance to be adversely affected.
run -all
# 
# 
# CPU SLEEP OFF!!
# 
# 
# Success!!
# 
# 
# CPU SLEEP ON!!
restart
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Too few port connections. Expected 122, found 104.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_DQ'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_UB_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_LB_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_CE_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_OE_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_WE_N'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_up'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_down'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_left'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_right'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_b'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_c'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_a'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_start'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_z'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_y'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_x'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_ADDR'.
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Too few port connections. Expected 47, found 25.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst10 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Memory_Arbiter.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_4'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_2'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_0'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_7'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_6'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_5'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_4'.
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Too few port connections. Expected 21, found 15.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst12 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Interrupt_Controller.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_1'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_2'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_3'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_1'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_2'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_3'.
# ** Warning: (vsim-8822) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(518): [TFMPC] - Missing Verilog connection for formal VHDL port 'c0'.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst17 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_PLL.vhd
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Too few port connections. Expected 21, found 19.
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst21 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Sprite_Shape_Reader.v
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_A_shape'.
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_B_shape'.
run -all
# 
# 
# CPU SLEEP OFF!!
# 
# 
# Success!!
# 
# 
# CPU SLEEP ON!!
# 
# 
# CPU SLEEP OFF!!
# 
# 
# Success!!
# 
# Interrupt!!
# 
# Interrupt Return!!
# 
# 
# Success!!
# 
# 
# Success!!
# 
# 
# CPU SLEEP ON!!
# 
# 
# CPU SLEEP OFF!!
# 
# 
# Success!!
# 
# Interrupt!!
# 
# Interrupt Return!!
# 
# 
# Success!!
# 
# 
# CPU SLEEP ON!!
# 
# 
# CPU SLEEP OFF!!
# 
# 
# Success!!
# 
# Interrupt!!
# 
# Interrupt Return!!
# 
# 
# Success!!
# 
# 
# Success!!
# 
# 
# CPU SLEEP ON!!
# 
# 
# CPU SLEEP OFF!!
# 
# 
# Success!!
# 
# Interrupt!!
# 
# Interrupt Return!!
# 
# 
# Success!!
# 
# 
# CPU SLEEP ON!!
# 
# 
# STOP!!
# ** Note: $stop    : C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(622)
#    Time: 80 ms  Iteration: 0  Instance: /TB_Processor
# Break in Module TB_Processor at C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v line 622
# End time: 23:33:13 on Jul 15,2018, Elapsed time: 0:36:50
# Errors: 0, Warnings: 108

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.