OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_Quartus/] [Processor_SDC.sdc.bak] - Rev 2

Compare with Previous | Blame | View Log


create_clock -period 40 [get_ports clock]

#set_input_delay -clock clock -max 5 [all_inputs]

#set_output_delay -clock clock -max 5  [all_outputs]

derive_clock_uncertainty

#derive_pll_clocks

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.