OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_Quartus/] [output_files/] [Processor.flow.rpt] - Rev 2

Compare with Previous | Blame | View Log

Flow report for Processor
Mon Jul 16 20:05:08 2018
Quartus Prime Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. Flow Summary
  3. Flow Settings
  4. Flow Non-Default Global Settings
  5. Flow Elapsed Time
  6. Flow OS Summary
  7. Flow Log
  8. Flow Messages
  9. Flow Suppressed Messages



----------------
; Legal Notice ;
----------------
Copyright (C) 2017  Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Intel Program License 
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel MegaCore Function License Agreement, or other 
applicable license agreement, including, without limitation, 
that your use is for the sole purpose of programming logic 
devices manufactured by Intel and sold by Intel or its 
authorized distributors.  Please refer to the applicable 
agreement for further details.



+----------------------------------------------------------------------------------+
; Flow Summary                                                                     ;
+------------------------------------+---------------------------------------------+
; Flow Status                        ; Successful - Mon Jul 16 20:05:08 2018       ;
; Quartus Prime Version              ; 17.0.0 Build 595 04/25/2017 SJ Lite Edition ;
; Revision Name                      ; Processor                                   ;
; Top-level Entity Name              ; Processor                                   ;
; Family                             ; Cyclone IV E                                ;
; Device                             ; EP4CE115F29C7                               ;
; Timing Models                      ; Final                                       ;
; Total logic elements               ; 25,607 / 114,480 ( 22 % )                   ;
;     Total combinational functions  ; 25,316 / 114,480 ( 22 % )                   ;
;     Dedicated logic registers      ; 5,859 / 114,480 ( 5 % )                     ;
; Total registers                    ; 5859                                        ;
; Total pins                         ; 90 / 529 ( 17 % )                           ;
; Total virtual pins                 ; 3,973                                       ;
; Total memory bits                  ; 3,145,728 / 3,981,312 ( 79 % )              ;
; Embedded Multiplier 9-bit elements ; 2 / 532 ( < 1 % )                           ;
; Total PLLs                         ; 1 / 4 ( 25 % )                              ;
+------------------------------------+---------------------------------------------+


+-----------------------------------------+
; Flow Settings                           ;
+-------------------+---------------------+
; Option            ; Setting             ;
+-------------------+---------------------+
; Start date & time ; 07/15/2018 21:26:19 ;
; Main task         ; Compilation         ;
; Revision Name     ; Processor           ;
+-------------------+---------------------+


+-----------------------------------------------------------------------------------------------------------------------------+
; Flow Non-Default Global Settings                                                                                            ;
+-------------------------------------+----------------------------------------+---------------+-------------+----------------+
; Assignment Name                     ; Value                                  ; Default Value ; Entity Name ; Section Id     ;
+-------------------------------------+----------------------------------------+---------------+-------------+----------------+
; COMPILER_SIGNATURE_ID               ; 38266919196283.153170077805132         ; --            ; --          ; --             ;
; EDA_OUTPUT_DATA_FORMAT              ; Vhdl                                   ; --            ; --          ; eda_simulation ;
; EDA_SIMULATION_TOOL                 ; ModelSim-Altera (VHDL)                 ; <None>        ; --          ; --             ;
; EDA_TIME_SCALE                      ; 1 ps                                   ; --            ; --          ; eda_simulation ;
; MAX_CORE_JUNCTION_TEMP              ; 85                                     ; --            ; --          ; --             ;
; MIN_CORE_JUNCTION_TEMP              ; 0                                      ; --            ; --          ; --             ;
; MISC_FILE                           ; IP_ROM_Program.bsf                     ; --            ; --          ; --             ;
; MISC_FILE                           ; IP_ROM_Program.cmp                     ; --            ; --          ; --             ;
; MISC_FILE                           ; IP_ADD.bsf                             ; --            ; --          ; --             ;
; MISC_FILE                           ; IP_ADD.cmp                             ; --            ; --          ; --             ;
; MISC_FILE                           ; IP_SUB.cmp                             ; --            ; --          ; --             ;
; MISC_FILE                           ; IP_MULT.cmp                            ; --            ; --          ; --             ;
; MISC_FILE                           ; IP_COMPARE.cmp                         ; --            ; --          ; --             ;
; MISC_FILE                           ; IP_RAM_Data.cmp                        ; --            ; --          ; --             ;
; MISC_FILE                           ; IP_PLL.cmp                             ; --            ; --          ; --             ;
; MISC_FILE                           ; IP_PLL.ppf                             ; --            ; --          ; --             ;
; MISC_FILE                           ; IP_DIVIDE.cmp                          ; --            ; --          ; --             ;
; NOMINAL_CORE_SUPPLY_VOLTAGE         ; 1.2V                                   ; --            ; --          ; --             ;
; PARTITION_COLOR                     ; -- (Not supported for targeted family) ; --            ; --          ; Top            ;
; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; --            ; --          ; Top            ;
; PARTITION_NETLIST_TYPE              ; -- (Not supported for targeted family) ; --            ; --          ; Top            ;
; POWER_BOARD_THERMAL_MODEL           ; None (CONSERVATIVE)                    ; --            ; --          ; --             ;
; POWER_PRESET_COOLING_SOLUTION       ; 23 MM HEAT SINK WITH 200 LFPM AIRFLOW  ; --            ; --          ; --             ;
; PROJECT_OUTPUT_DIRECTORY            ; output_files                           ; --            ; --          ; --             ;
; SMART_RECOMPILE                     ; On                                     ; Off           ; --          ; --             ;
+-------------------------------------+----------------------------------------+---------------+-------------+----------------+


+-------------------------------------------------------------------------------------------------------------------------------+
; Flow Elapsed Time                                                                                                             ;
+---------------------------+--------------+-------------------------+---------------------+------------------------------------+
; Module Name               ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
+---------------------------+--------------+-------------------------+---------------------+------------------------------------+
; Analysis & Synthesis      ; 00:04:57     ; 1.0                     ; 1011 MB             ; 00:05:10                           ;
; Fitter                    ; 00:05:40     ; 1.0                     ; 1546 MB             ; 00:08:41                           ;
; Assembler                 ; 00:00:09     ; 1.0                     ; 642 MB              ; 00:00:07                           ;
; TimeQuest Timing Analyzer ; 00:00:51     ; 1.8                     ; 1040 MB             ; 00:01:28                           ;
; EDA Netlist Writer        ; 00:00:31     ; 1.0                     ; 753 MB              ; 00:00:30                           ;
; MIF/HEX Update            ; 00:00:07     ; 1.0                     ; 724 MB              ; 00:00:06                           ;
; Assembler                 ; 00:00:08     ; 1.0                     ; 642 MB              ; 00:00:07                           ;
; EDA Netlist Writer        ; 00:00:36     ; 1.0                     ; 754 MB              ; 00:00:31                           ;
; Total                     ; 00:12:59     ; --                      ; --                  ; 00:16:40                           ;
+---------------------------+--------------+-------------------------+---------------------+------------------------------------+


+----------------------------------------------------------------------------------------+
; Flow OS Summary                                                                        ;
+---------------------------+------------------+-----------+------------+----------------+
; Module Name               ; Machine Hostname ; OS Name   ; OS Version ; Processor type ;
+---------------------------+------------------+-----------+------------+----------------+
; Analysis & Synthesis      ; Lucas-PC         ; Windows 7 ; 6.1        ; x86_64         ;
; Fitter                    ; Lucas-PC         ; Windows 7 ; 6.1        ; x86_64         ;
; Assembler                 ; Lucas-PC         ; Windows 7 ; 6.1        ; x86_64         ;
; TimeQuest Timing Analyzer ; Lucas-PC         ; Windows 7 ; 6.1        ; x86_64         ;
; EDA Netlist Writer        ; Lucas-PC         ; Windows 7 ; 6.1        ; x86_64         ;
; MIF/HEX Update            ; Lucas-PC         ; Windows 7 ; 6.1        ; x86_64         ;
; Assembler                 ; Lucas-PC         ; Windows 7 ; 6.1        ; x86_64         ;
; EDA Netlist Writer        ; Lucas-PC         ; Windows 7 ; 6.1        ; x86_64         ;
+---------------------------+------------------+-----------+------------+----------------+


------------
; Flow Log ;
------------
quartus_map --read_settings_files=on --write_settings_files=off Project_Processor -c Processor
quartus_fit --read_settings_files=off --write_settings_files=off Project_Processor -c Processor
quartus_asm --read_settings_files=off --write_settings_files=off Project_Processor -c Processor
quartus_sta Project_Processor -c Processor
quartus_eda --read_settings_files=off --write_settings_files=off Project_Processor -c Processor
quartus_cdb Project_Processor -c Processor --update_mif
quartus_asm --read_settings_files=on --write_settings_files=off Project_Processor -c Processor
quartus_eda --read_settings_files=off --write_settings_files=off Project_Processor -c Processor



Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.