OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

[/] [6809_6309_compatible_core/] [trunk/] [sim/] [p6809.out] - Rev 7

Go to most recent revision | Compare with Previous | Blame | View Log

#! /usr/local/bin/vvp
:ivl_version "0.10.0 (devel)" "(s20121218-345-gbfb14fb)";
:ivl_delay_selection "TYPICAL";
:vpi_time_precision - 9;
:vpi_module "system";
:vpi_module "vhdl_sys";
:vpi_module "v2005_math";
:vpi_module "va_math";
S_0xbe5020 .scope module, "tb" "tb" 2 8;
 .timescale -9 -9;
    .port_info 0 /OUTPUT 16 "addr_o"
    .port_info 1 /OUTPUT 8 "data_o_o"
L_0x108d980 .functor BUFZ 16, v0xc89a50_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x108d9f0 .functor BUFZ 8, v0xc89af0_0, C4<00000000>, C4<00000000>, C4<00000000>;
v0x108d160_0 .net "addr", 15 0, v0xc89a50_0;  1 drivers
v0x108d240_0 .net "addr_o", 15 0, L_0x108d980;  1 drivers
v0x108d320_0 .var "clk", 0 0;
v0x108d3c0_0 .net "data_i", 7 0, L_0x10bb7b0;  1 drivers
v0x108d4b0_0 .net "data_o", 7 0, v0xc89af0_0;  1 drivers
v0x108d610_0 .net "data_o_o", 7 0, L_0x108d9f0;  1 drivers
v0x108d6f0_0 .net "oe", 0 0, v0xc89b90_0;  1 drivers
v0x108d790_0 .var "reset", 0 0;
v0x108d830_0 .net "we", 0 0, v0xc89c30_0;  1 drivers
L_0x10bb820 .reduce/nor v0xc89b90_0;
L_0x10bb8c0 .reduce/nor v0xc89c30_0;
S_0xbf6740 .scope module, "cpu" "MC6809_cpu" 2 20, 3 10 0, S_0xbe5020;
 .timescale -9 -9;
    .port_info 0 /INPUT 1 "cpu_clk"
    .port_info 1 /INPUT 1 "cpu_reset"
    .port_info 2 /INPUT 1 "cpu_nmi_n"
    .port_info 3 /INPUT 1 "cpu_irq_n"
    .port_info 4 /INPUT 1 "cpu_firq_n"
    .port_info 5 /OUTPUT 6 "cpu_state_o"
    .port_info 6 /OUTPUT 1 "cpu_we_o"
    .port_info 7 /OUTPUT 1 "cpu_oe_o"
    .port_info 8 /OUTPUT 16 "cpu_addr_o"
    .port_info 9 /INPUT 8 "cpu_data_i"
    .port_info 10 /OUTPUT 8 "cpu_data_o"
L_0x108dc50 .functor AND 1, L_0x108da80, L_0x108db80, C4<1>, C4<1>;
L_0x108df20 .functor AND 1, L_0x108dd60, L_0x108de00, C4<1>, C4<1>;
L_0x108e260 .functor AND 1, L_0x108e060, L_0x108e100, C4<1>, C4<1>;
L_0x10ba2f0 .functor AND 1, L_0x10baf50, L_0x10ba150, C4<1>, C4<1>;
L_0x10bb460 .functor BUFZ 1, v0x108d790_0, C4<0>, C4<0>, C4<0>;
L_0x10bb4d0 .functor BUFZ 6, v0xc8c1f0_0, C4<000000>, C4<000000>, C4<000000>;
v0xc87280_0 .net *"_s1", 0 0, L_0x108da80;  1 drivers
v0xc87380_0 .net *"_s13", 0 0, L_0x108e060;  1 drivers
v0xc87460_0 .net *"_s15", 0 0, L_0x108e100;  1 drivers
v0xc87520_0 .net *"_s22", 8 0, L_0x10ba060;  1 drivers
L_0x7fa2a99e8cc0 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>;
v0xc87600_0 .net *"_s25", 2 0, L_0x7fa2a99e8cc0;  1 drivers
L_0x7fa2a99e8d08 .functor BUFT 1, C4<000010010>, C4<0>, C4<0>, C4<0>;
v0xc876e0_0 .net/2u *"_s26", 8 0, L_0x7fa2a99e8d08;  1 drivers
v0xc877c0_0 .net *"_s28", 0 0, L_0x10ba150;  1 drivers
v0xc87880_0 .net *"_s3", 0 0, L_0x108db80;  1 drivers
v0xc87960_0 .net *"_s7", 0 0, L_0x108dd60;  1 drivers
v0xc87ad0_0 .net *"_s9", 0 0, L_0x108de00;  1 drivers
v0xc87bb0_0 .net "alu_o_CCR", 7 0, v0xc77350_0;  1 drivers
v0xc87c70_0 .net "alu_o_result", 15 0, v0xc7f560_0;  1 drivers
v0xc87d30_0 .net "cpu_addr_o", 15 0, v0xc89a50_0;  alias, 1 drivers
v0xc87df0_0 .net "cpu_clk", 0 0, v0x108d320_0;  1 drivers
v0xc87e90_0 .net "cpu_data_i", 7 0, L_0x10bb7b0;  alias, 1 drivers
v0xc87f70_0 .net "cpu_data_o", 7 0, v0xc89af0_0;  alias, 1 drivers
o0x7fa2a9dca448 .functor BUFZ 1, C4<z>; HiZ drive
v0xc88050_0 .net "cpu_firq_n", 0 0, o0x7fa2a9dca448;  0 drivers
o0x7fa2a9dca478 .functor BUFZ 1, C4<z>; HiZ drive
v0xc88200_0 .net "cpu_irq_n", 0 0, o0x7fa2a9dca478;  0 drivers
o0x7fa2a9dca4a8 .functor BUFZ 1, C4<z>; HiZ drive
v0xc882a0_0 .net "cpu_nmi_n", 0 0, o0x7fa2a9dca4a8;  0 drivers
v0xc88340_0 .net "cpu_oe_o", 0 0, v0xc89b90_0;  alias, 1 drivers
v0xc883e0_0 .net "cpu_reset", 0 0, v0x108d790_0;  1 drivers
v0xc884a0_0 .net "cpu_state_o", 5 0, L_0x10bb4d0;  1 drivers
v0xc88580_0 .net "cpu_we_o", 0 0, v0xc89c30_0;  alias, 1 drivers
v0xc88640_0 .var "datamux_o_alu_in_left_path_addr", 3 0;
v0xc88700_0 .var "datamux_o_alu_in_left_path_data", 15 0;
v0xc887d0_0 .var "datamux_o_alu_in_right_path_data", 15 0;
v0xc888a0_0 .var "datamux_o_dest", 15 0;
v0xc88970_0 .var "datamux_o_dest_reg_addr", 3 0;
v0xc88a40_0 .net "dec_o_alu_opcode", 4 0, v0xc7fe60_0;  1 drivers
v0xc88b70_0 .net "dec_o_alu_size", 0 0, L_0x10ba950;  1 drivers
v0xc88c10_0 .net "dec_o_cond_taken", 0 0, v0xc86e10_0;  1 drivers
v0xc88cb0_0 .net "dec_o_dest_reg_addr", 3 0, v0xc82860_0;  1 drivers
v0xc88d80_0 .net "dec_o_ea_indirect", 0 0, L_0x10bad70;  1 drivers
v0xc88120_0 .net "dec_o_ea_ofs0", 0 0, v0xc80e70_0;  1 drivers
v0xc89030_0 .net "dec_o_ea_ofs16", 0 0, v0xc80f30_0;  1 drivers
v0xc89100_0 .net "dec_o_ea_ofs8", 0 0, v0xc81080_0;  1 drivers
v0xc891d0_0 .net "dec_o_ea_wpost", 0 0, v0xc81140_0;  1 drivers
v0xc892a0_0 .net "dec_o_left_path_addr", 3 0, v0xc82c70_0;  1 drivers
v0xc89370_0 .net "dec_o_p1_mode", 2 0, v0xc815d0_0;  1 drivers
v0xc89440_0 .net "dec_o_p1_optype", 2 0, v0xc81890_0;  1 drivers
v0xc89510_0 .net "dec_o_right_path_addr", 3 0, v0xc82d50_0;  1 drivers
v0xc895b0_0 .net "dec_o_right_path_mod", 1 0, v0xc7ff20_0;  1 drivers
v0xc89650_0 .net "dec_o_source_size", 0 0, L_0x10ba540;  1 drivers
v0xc89720_0 .net "dec_o_use_s", 0 0, v0xc81c50_0;  1 drivers
v0xc89810_0 .net "dec_o_wdest", 0 0, L_0x10ba3b0;  1 drivers
v0xc898b0_0 .net "dec_o_write_flags", 0 0, L_0x10baf50;  1 drivers
v0xc89980_0 .var "k_clear_e", 0 0;
v0xc89a50_0 .var "k_cpu_addr", 15 0;
v0xc89af0_0 .var "k_cpu_data_o", 7 0;
v0xc89b90_0 .var "k_cpu_oe", 0 0;
v0xc89c30_0 .var "k_cpu_we", 0 0;
v0xc89cd0_0 .var "k_dec_su", 0 0;
v0xc89da0_0 .var "k_eahi", 7 0;
v0xc89e40_0 .var "k_ealo", 7 0;
v0xc89ee0_0 .net "k_firq_req", 0 0, L_0x108df20;  1 drivers
v0xc89fa0_0 .var "k_forced_mem_size", 0 0;
v0xc8a060_0 .var "k_inc_pc", 0 0;
v0xc8a130_0 .var "k_inc_su", 0 0;
v0xc8a200_0 .var "k_ind_ea", 7 0;
v0xc8a2f0_0 .net "k_irq_req", 0 0, L_0x108e260;  1 drivers
v0xc8a390_0 .var "k_mem_dest", 1 0;
v0xc8a470_0 .var "k_memhi", 7 0;
v0xc8a550_0 .var "k_memlo", 7 0;
v0xc8a630_0 .var "k_mul_cnt", 0 0;
v0xc8a6f0_0 .var "k_new_pc", 15 0;
v0xc88e20_0 .net "k_nmi_req", 0 0, L_0x108dc50;  1 drivers
v0xc88ec0_0 .var "k_ofshi", 7 0;
v0xc8aba0_0 .var "k_ofslo", 7 0;
v0xc8ac40_0 .var "k_opcode", 7 0;
v0xc8ad70_0 .var "k_p2_valid", 0 0;
v0xc8aea0_0 .var "k_p3_valid", 0 0;
v0xc8af40_0 .var "k_postbyte", 7 0;
v0xc8b070_0 .var "k_pp_active_reg", 3 0;
v0xc8b130_0 .var "k_pp_regs", 7 0;
v0xc8b210_0 .var "k_reg_firq", 2 0;
v0xc8b2f0_0 .var "k_reg_irq", 2 0;
v0xc8b3d0_0 .var "k_reg_nmi", 2 0;
v0xc8b4b0_0 .net "k_reset", 0 0, L_0x10bb460;  1 drivers
v0xc8b570_0 .var "k_set_e", 0 0;
v0xc8b640_0 .var "k_write_dest", 0 0;
v0xc8b710_0 .var "k_write_exg", 0 0;
v0xc8b7e0_0 .var "k_write_pc", 0 0;
v0xc8b8b0_0 .var "k_write_post_incdec", 0 0;
v0xc8b980_0 .var "k_write_tfr", 0 0;
v0xc8ba50_0 .var "next_mem_state", 5 0;
v0xc8baf0_0 .var "next_push_state", 5 0;
v0xc8bb90_0 .var "next_state", 5 0;
v0xc8bc30_0 .net "regs_o_CCR", 7 0, L_0x10b90c0;  1 drivers
v0xc8bcf0_0 .net "regs_o_dp", 7 0, L_0x10b84f0;  1 drivers
v0xc8bde0_0 .net "regs_o_eamem_addr", 15 0, v0xc84c60_0;  1 drivers
v0xc8beb0_0 .net "regs_o_left_path_data", 15 0, v0xc85780_0;  1 drivers
v0xc8bf80_0 .net "regs_o_pc", 15 0, L_0x10b9330;  1 drivers
v0xc8c050_0 .net "regs_o_right_path_data", 15 0, v0xc85a60_0;  1 drivers
v0xc8c120_0 .net "regs_o_su", 15 0, L_0x10b9b40;  1 drivers
v0xc8c1f0_0 .var "state", 5 0;
E_0xc55f70 .event posedge, v0xc8b4b0_0, v0xc60fc0_0;
E_0xc558e0/0 .event edge, v0xc82d50_0, v0xc8a550_0, v0xc8a470_0, v0xc7ff20_0;
E_0xc558e0/1 .event edge, v0xc85a60_0;
E_0xc558e0 .event/or E_0xc558e0/0, E_0xc558e0/1;
E_0xa15970/0 .event edge, v0xc8a470_0, v0xc8a550_0, v0xc815d0_0, v0xc85c00_0;
E_0xa15970/1 .event edge, v0xc89da0_0, v0xc89e40_0, v0xc85b20_0, v0xc80db0_0;
E_0xa15970/2 .event edge, v0xc84c60_0;
E_0xa15970 .event/or E_0xa15970/0, E_0xa15970/1, E_0xa15970/2;
E_0xc29e50/0 .event edge, v0xc82c70_0, v0xc8a470_0, v0xc8a550_0, v0xc81890_0;
E_0xc29e50/1 .event edge, v0xc80db0_0, v0xc84c60_0, v0xc85780_0;
E_0xc29e50 .event/or E_0xc29e50/0, E_0xc29e50/1;
E_0xba0760/0 .event edge, v0xc7f560_0, v0xc81890_0, v0xc8a470_0, v0xc8a550_0;
E_0xba0760/1 .event edge, v0xc80db0_0, v0xc84c60_0;
E_0xba0760 .event/or E_0xba0760/0, E_0xba0760/1;
E_0xbdd850 .event edge, v0xc8b070_0, v0xc82860_0;
E_0xbd7510 .event edge, v0xc8b070_0, v0xc82c70_0;
L_0x108da80 .part v0xc8b3d0_0, 2, 1;
L_0x108db80 .part v0xc8b3d0_0, 1, 1;
L_0x108dd60 .part v0xc8b210_0, 2, 1;
L_0x108de00 .part v0xc8b210_0, 1, 1;
L_0x108e060 .part v0xc8b2f0_0, 2, 1;
L_0x108e100 .part v0xc8b2f0_0, 1, 1;
L_0x10b9ed0 .part v0xc8af40_0, 4, 4;
L_0x10b9f70 .concat [ 8 8 0 0], v0xc8aba0_0, v0xc88ec0_0;
L_0x10ba060 .concat [ 6 3 0 0], v0xc8c1f0_0, L_0x7fa2a99e8cc0;
L_0x10ba150 .cmp/eq 9, L_0x10ba060, L_0x7fa2a99e8d08;
S_0xc1f570 .scope module, "alu" "alu" 3 88, 4 15 0, S_0xbf6740;
 .timescale -9 -9;
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 1 /INPUT 16 "a_in"
    .port_info 2 /INPUT 16 "b_in"
    .port_info 3 /INPUT 8 "CCR"
    .port_info 4 /INPUT 5 "opcode_in"
    .port_info 5 /INPUT 1 "sz_in"
    .port_info 6 /OUTPUT 16 "q_out"
    .port_info 7 /OUTPUT 8 "CCRo"
v0xc77220_0 .net "CCR", 7 0, L_0x10b90c0;  alias, 1 drivers
v0xc77350_0 .var "CCRo", 7 0;
v0xc77430_0 .net *"_s1", 7 0, L_0x109b6c0;  1 drivers
L_0x7fa2a99e8768 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
v0xc774f0_0 .net *"_s12", 7 0, L_0x7fa2a99e8768;  1 drivers
L_0x7fa2a99e8720 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
v0xc775d0_0 .net *"_s5", 7 0, L_0x7fa2a99e8720;  1 drivers
v0xc77700_0 .net *"_s8", 7 0, L_0x109b8f0;  1 drivers
v0xc777e0_0 .net "a_in", 15 0, v0xc88700_0;  1 drivers
v0xc7efb0_0 .net "b_in", 15 0, v0xc887d0_0;  1 drivers
v0xc7f070_0 .net "ccr16_out", 3 0, v0xc61500_0;  1 drivers
v0xc7f1c0_0 .net "ccr8_out", 7 0, v0xc6fd20_0;  1 drivers
v0xc7f290_0 .net "clk_in", 0 0, v0x108d320_0;  alias, 1 drivers
v0xc7f330_0 .net "opcode_in", 4 0, v0xc7fe60_0;  alias, 1 drivers
v0xc7f3d0_0 .net "q16_out", 15 0, v0xc6dc60_0;  1 drivers
v0xc7f490_0 .net "q8_out", 7 0, v0xc7c840_0;  1 drivers
v0xc7f560_0 .var "q_out", 15 0;
v0xc7f620_0 .var "ra_in", 15 0;
v0xc7f710_0 .var "rb_in", 15 0;
v0xc7f8c0_0 .net "sz_in", 0 0, L_0x10ba950;  alias, 1 drivers
E_0xba1a00/0 .event edge, v0xc7f8c0_0, v0xc6dc60_0, v0xc61400_0, v0xc61500_0;
E_0xba1a00/1 .event edge, v0xc7c840_0, v0xc6fd20_0;
E_0xba1a00 .event/or E_0xba1a00/0, E_0xba1a00/1;
L_0x109b6c0 .part v0xc7f620_0, 0, 8;
L_0x109b7b0 .concat [ 8 8 0 0], L_0x109b6c0, L_0x7fa2a99e8720;
L_0x109b8f0 .part v0xc7f710_0, 0, 8;
L_0x109bf10 .concat [ 8 8 0 0], L_0x109b8f0, L_0x7fa2a99e8768;
S_0xb454e0 .scope module, "alu16" "alu16" 4 33, 4 325 0, S_0xc1f570;
 .timescale -9 -9;
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 1 /INPUT 16 "a_in"
    .port_info 2 /INPUT 16 "b_in"
    .port_info 3 /INPUT 8 "CCR"
    .port_info 4 /INPUT 5 "opcode_in"
    .port_info 5 /OUTPUT 16 "q_out"
    .port_info 6 /OUTPUT 4 "CCRo"
L_0x109c7b0 .functor NOT 16, v0xc7f620_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x10ae130 .functor AND 16, v0xc7f620_0, v0xc7f710_0, C4<1111111111111111>, C4<1111111111111111>;
L_0x10aebb0 .functor OR 16, v0xc7f620_0, v0xc7f710_0, C4<0000000000000000>, C4<0000000000000000>;
L_0x109ccc0 .functor XOR 16, v0xc7f620_0, v0xc7f710_0, C4<0000000000000000>, C4<0000000000000000>;
L_0x10af380 .functor AND 1, L_0x10af410, L_0x10af2b0, C4<1>, C4<1>;
L_0x10af4b0 .functor NOT 1, L_0x10af6f0, C4<0>, C4<0>, C4<0>;
L_0x10af570 .functor AND 1, L_0x10af380, L_0x10af4b0, C4<1>, C4<1>;
L_0x10afa50 .functor NOT 1, L_0x10af9b0, C4<0>, C4<0>, C4<0>;
L_0x10af790 .functor NOT 1, L_0x10afb60, C4<0>, C4<0>, C4<0>;
L_0x10af850 .functor AND 1, L_0x10afa50, L_0x10af790, C4<1>, C4<1>;
L_0x10aff10 .functor AND 1, L_0x10af850, L_0x10afde0, C4<1>, C4<1>;
L_0x10aff80 .functor OR 1, L_0x10af570, L_0x10aff10, C4<0>, C4<0>;
L_0x10b01a0 .functor AND 1, L_0x10b0350, L_0x10b03f0, C4<1>, C4<1>;
L_0x10b02e0 .functor NOT 1, L_0x10b0650, C4<0>, C4<0>, C4<0>;
L_0x10b0090 .functor AND 1, L_0x10b01a0, L_0x10b02e0, C4<1>, C4<1>;
L_0x10b0490 .functor NOT 1, L_0x10b07e0, C4<0>, C4<0>, C4<0>;
L_0x10b05e0 .functor NOT 1, L_0x10b0a50, C4<0>, C4<0>, C4<0>;
L_0x10b0b40 .functor AND 1, L_0x10b0490, L_0x10b05e0, C4<1>, C4<1>;
L_0x10b0880 .functor AND 1, L_0x10b0b40, L_0x10b0cf0, C4<1>, C4<1>;
L_0x10b09c0 .functor OR 1, L_0x10b0090, L_0x10b0880, C4<0>, C4<0>;
L_0x10b1450 .functor AND 1, L_0x10b0ed0, L_0x10b13b0, C4<1>, C4<1>;
L_0x10b11b0 .functor NOT 1, L_0x10b1560, C4<0>, C4<0>, C4<0>;
L_0x10b1330 .functor AND 1, L_0x10b1450, L_0x10b11b0, C4<1>, C4<1>;
L_0x10b18b0 .functor NOT 1, L_0x10b1810, C4<0>, C4<0>, C4<0>;
L_0x10b1600 .functor AND 1, L_0x10b18b0, L_0x10b1270, C4<1>, C4<1>;
L_0x10b1c60 .functor AND 1, L_0x10b1600, L_0x10b1740, C4<1>, C4<1>;
L_0x10b1970 .functor OR 1, L_0x10b1330, L_0x10b1c60, C4<0>, C4<0>;
L_0x10b2080 .functor AND 1, L_0x10b1f40, L_0x10b1fe0, C4<1>, C4<1>;
L_0x10b1d70 .functor NOT 1, L_0x10ae240, C4<0>, C4<0>, C4<0>;
L_0x10b22b0 .functor AND 1, L_0x10b2080, L_0x10b1d70, C4<1>, C4<1>;
L_0x10b2610 .functor NOT 1, L_0x10b21c0, C4<0>, C4<0>, C4<0>;
L_0x10b2720 .functor AND 1, L_0x10b2610, L_0x10b2680, C4<1>, C4<1>;
L_0x10b2960 .functor AND 1, L_0x10b2720, L_0x10b2830, C4<1>, C4<1>;
L_0x10b2a20 .functor OR 1, L_0x10b22b0, L_0x10b2960, C4<0>, C4<0>;
L_0x10b2b30 .functor BUFZ 16, L_0x109c7b0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x10b2d80 .functor BUFZ 16, L_0x10add40, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x10b3150 .functor NOT 1, L_0x10b2ee0, C4<0>, C4<0>, C4<0>;
L_0x10b31c0 .functor AND 1, L_0x10b2e40, L_0x10b3150, C4<1>, C4<1>;
L_0x10b3470 .functor NOT 1, L_0x10b33d0, C4<0>, C4<0>, C4<0>;
L_0x10b37e0 .functor AND 1, L_0x10b31c0, L_0x10b3470, C4<1>, C4<1>;
L_0x10b34e0 .functor NOT 1, L_0x10b38f0, C4<0>, C4<0>, C4<0>;
L_0x10b35a0 .functor AND 1, L_0x10b37e0, L_0x10b34e0, C4<1>, C4<1>;
L_0x10b3280 .functor NOT 1, L_0x10b36b0, C4<0>, C4<0>, C4<0>;
L_0x10b3340 .functor AND 1, L_0x10b35a0, L_0x10b3280, C4<1>, C4<1>;
L_0x10b2f80 .functor NOT 1, L_0x10b3c30, C4<0>, C4<0>, C4<0>;
L_0x10b3d20 .functor AND 1, L_0x10b3340, L_0x10b2f80, C4<1>, C4<1>;
L_0x10b3ed0 .functor NOT 1, L_0x10b3e30, C4<0>, C4<0>, C4<0>;
L_0x10b3bb0 .functor AND 1, L_0x10b3d20, L_0x10b3ed0, C4<1>, C4<1>;
L_0x10b3ad0 .functor NOT 1, L_0x10b3a30, C4<0>, C4<0>, C4<0>;
L_0x10b40b0 .functor AND 1, L_0x10b3bb0, L_0x10b3ad0, C4<1>, C4<1>;
L_0x10b44a0 .functor NOT 1, L_0x10b4400, C4<0>, C4<0>, C4<0>;
L_0x10b4850 .functor AND 1, L_0x10b40b0, L_0x10b44a0, C4<1>, C4<1>;
L_0x10b4260 .functor NOT 1, L_0x10b41c0, C4<0>, C4<0>, C4<0>;
L_0x10b4560 .functor AND 1, L_0x10b4850, L_0x10b4260, C4<1>, C4<1>;
L_0x10b4a00 .functor NOT 1, L_0x10b4960, C4<0>, C4<0>, C4<0>;
L_0x10b4de0 .functor AND 1, L_0x10b4560, L_0x10b4a00, C4<1>, C4<1>;
L_0x10b4b10 .functor NOT 1, L_0x10b4ea0, C4<0>, C4<0>, C4<0>;
L_0x10b4bd0 .functor AND 1, L_0x10b4de0, L_0x10b4b10, C4<1>, C4<1>;
L_0x10b5220 .functor NOT 1, L_0x10b4ce0, C4<0>, C4<0>, C4<0>;
L_0x10b5290 .functor AND 1, L_0x10b4bd0, L_0x10b5220, C4<1>, C4<1>;
L_0x10b3fa0 .functor NOT 1, L_0x10b4670, C4<0>, C4<0>, C4<0>;
L_0x10b4710 .functor AND 1, L_0x10b5290, L_0x10b3fa0, C4<1>, C4<1>;
L_0x10b47d0 .functor NOT 1, L_0x10b5140, C4<0>, C4<0>, C4<0>;
L_0x10b53f0 .functor AND 1, L_0x10b4710, L_0x10b47d0, C4<1>, C4<1>;
L_0x10b5500 .functor NOT 1, L_0x10b4f40, C4<0>, C4<0>, C4<0>;
L_0x10b5030 .functor AND 1, L_0x10b53f0, L_0x10b5500, C4<1>, C4<1>;
L_0x10b5b00 .functor OR 1, L_0x10b59c0, L_0x10b5a60, C4<0>, C4<0>;
L_0x10b5c10 .functor OR 1, L_0x10b5b00, L_0x10b5fb0, C4<0>, C4<0>;
L_0x10b5840 .functor OR 1, L_0x10b5c10, L_0x10b57a0, C4<0>, C4<0>;
L_0x10b5950 .functor OR 1, L_0x10b5840, L_0x10b5c80, C4<0>, C4<0>;
L_0x10b5e60 .functor OR 1, L_0x10b5950, L_0x10b5dc0, C4<0>, C4<0>;
L_0x10b6230 .functor AND 1, L_0x10b60f0, L_0x10b6190, C4<1>, C4<1>;
L_0x10b6590 .functor OR 1, L_0x10b5e60, L_0x10b6230, C4<0>, C4<0>;
L_0x10b6740 .functor OR 1, L_0x10b6590, L_0x10b66a0, C4<0>, C4<0>;
L_0x10b63e0 .functor OR 1, L_0x10b6740, L_0x10b6340, C4<0>, C4<0>;
L_0x10b6850 .functor OR 1, L_0x10b63e0, L_0x10b64f0, C4<0>, C4<0>;
L_0x10b6d60 .functor OR 1, L_0x10b6850, L_0x10b6960, C4<0>, C4<0>;
L_0x10b6f10 .functor OR 1, L_0x10b6d60, L_0x10b6e70, C4<0>, C4<0>;
L_0x109cfb0 .functor OR 1, L_0x10b6f10, L_0x10b7020, C4<0>, C4<0>;
L_0x10b5610 .functor OR 1, L_0x109cfb0, L_0x10b5570, C4<0>, C4<0>;
L_0x10b5720 .functor OR 1, L_0x10b5610, L_0x10b6c90, C4<0>, C4<0>;
L_0x10b71b0 .functor BUFZ 16, L_0x10ae4a0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x10b6aa0 .functor XOR 1, L_0x10b7310, L_0x10b6a00, C4<0>, C4<0>;
L_0x10b6bb0 .functor BUFZ 16, L_0x10ae650, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x10b7cc0 .functor XOR 1, L_0x10b7b50, L_0x10b7bf0, C4<0>, C4<0>;
L_0x10b81d0 .functor BUFZ 16, L_0x10ae860, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x10b7a40 .functor XOR 1, L_0x10b78a0, L_0x10b7970, C4<0>, C4<0>;
L_0x10b7ea0 .functor BUFZ 16, L_0x10aea80, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x10aeee0 .functor XOR 1, L_0x10b8000, L_0x10b80a0, C4<0>, C4<0>;
L_0x10af020 .functor BUFZ 16, L_0x10aecf0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x10b8780 .functor XOR 1, L_0x10b8610, L_0x10b86b0, C4<0>, C4<0>;
L_0x10b88c0 .functor BUFZ 16, L_0x10ae130, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x10b8980 .functor BUFZ 1, L_0x1092550, C4<0>, C4<0>, C4<0>;
L_0x10b89f0 .functor BUFZ 16, L_0x10aebb0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x10b8ae0 .functor BUFZ 16, L_0x109ccc0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
v0xc61400_0 .net "CCR", 7 0, L_0x10b90c0;  alias, 1 drivers
v0xc61500_0 .var "CCRo", 3 0;
v0xc615e0_0 .net *"_s101", 14 0, L_0x10ae950;  1 drivers
L_0x7fa2a99e87b0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc616a0_0 .net/2u *"_s11", 0 0, L_0x7fa2a99e87b0;  1 drivers
v0xc61780_0 .net *"_s113", 16 0, L_0x10aed90;  1 drivers
v0xc618b0_0 .net *"_s116", 0 0, L_0x10af410;  1 drivers
v0xc61990_0 .net *"_s118", 0 0, L_0x10af2b0;  1 drivers
v0xc61a70_0 .net *"_s119", 0 0, L_0x10af380;  1 drivers
v0xc61b50_0 .net *"_s122", 0 0, L_0x10af6f0;  1 drivers
v0xc61cc0_0 .net *"_s123", 0 0, L_0x10af4b0;  1 drivers
v0xc61da0_0 .net *"_s125", 0 0, L_0x10af570;  1 drivers
v0xc61e80_0 .net *"_s128", 0 0, L_0x10af9b0;  1 drivers
v0xc61f60_0 .net *"_s129", 0 0, L_0x10afa50;  1 drivers
v0xc62040_0 .net *"_s13", 16 0, L_0x109c4e0;  1 drivers
v0xc62120_0 .net *"_s132", 0 0, L_0x10afb60;  1 drivers
v0xc62200_0 .net *"_s133", 0 0, L_0x10af790;  1 drivers
v0xc622e0_0 .net *"_s135", 0 0, L_0x10af850;  1 drivers
v0xc62490_0 .net *"_s138", 0 0, L_0x10afde0;  1 drivers
v0xc62530_0 .net *"_s139", 0 0, L_0x10aff10;  1 drivers
v0xc62610_0 .net *"_s146", 16 0, L_0x10afcf0;  1 drivers
v0xc626f0_0 .net *"_s149", 0 0, L_0x10b0350;  1 drivers
L_0x7fa2a99e87f8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc627d0_0 .net/2u *"_s15", 0 0, L_0x7fa2a99e87f8;  1 drivers
v0xc628b0_0 .net *"_s151", 0 0, L_0x10b03f0;  1 drivers
v0xc62990_0 .net *"_s152", 0 0, L_0x10b01a0;  1 drivers
v0xc62a70_0 .net *"_s155", 0 0, L_0x10b0650;  1 drivers
v0xc62b50_0 .net *"_s156", 0 0, L_0x10b02e0;  1 drivers
v0xc62c30_0 .net *"_s158", 0 0, L_0x10b0090;  1 drivers
v0xc62d10_0 .net *"_s161", 0 0, L_0x10b07e0;  1 drivers
v0xc62df0_0 .net *"_s162", 0 0, L_0x10b0490;  1 drivers
v0xc62ed0_0 .net *"_s165", 0 0, L_0x10b0a50;  1 drivers
v0xc62fb0_0 .net *"_s166", 0 0, L_0x10b05e0;  1 drivers
v0xc63090_0 .net *"_s168", 0 0, L_0x10b0b40;  1 drivers
v0xc63170_0 .net *"_s17", 16 0, L_0x109c5d0;  1 drivers
v0xc623c0_0 .net *"_s171", 0 0, L_0x10b0cf0;  1 drivers
v0xc63440_0 .net *"_s172", 0 0, L_0x10b0880;  1 drivers
v0xc63520_0 .net *"_s179", 16 0, L_0x10b0d90;  1 drivers
v0xc63600_0 .net *"_s182", 0 0, L_0x10b0ed0;  1 drivers
v0xc636e0_0 .net *"_s184", 0 0, L_0x10b13b0;  1 drivers
v0xc637c0_0 .net *"_s185", 0 0, L_0x10b1450;  1 drivers
v0xc638a0_0 .net *"_s188", 0 0, L_0x10b1560;  1 drivers
v0xc63980_0 .net *"_s189", 0 0, L_0x10b11b0;  1 drivers
v0xc63a60_0 .net *"_s19", 16 0, L_0x109c710;  1 drivers
v0xc63b40_0 .net *"_s191", 0 0, L_0x10b1330;  1 drivers
v0xc63c20_0 .net *"_s194", 0 0, L_0x10b1810;  1 drivers
v0xc63d00_0 .net *"_s195", 0 0, L_0x10b18b0;  1 drivers
v0xc63de0_0 .net *"_s198", 0 0, L_0x10b1270;  1 drivers
v0xc63ec0_0 .net *"_s199", 0 0, L_0x10b1600;  1 drivers
v0xc63fa0_0 .net *"_s202", 0 0, L_0x10b1740;  1 drivers
v0xc64080_0 .net *"_s203", 0 0, L_0x10b1c60;  1 drivers
v0xc64160_0 .net *"_s210", 16 0, L_0x10b1b30;  1 drivers
v0xc64240_0 .net *"_s213", 0 0, L_0x10b1f40;  1 drivers
v0xc64320_0 .net *"_s215", 0 0, L_0x10b1fe0;  1 drivers
v0xc64400_0 .net *"_s216", 0 0, L_0x10b2080;  1 drivers
v0xc644e0_0 .net *"_s219", 0 0, L_0x10ae240;  1 drivers
v0xc645c0_0 .net *"_s220", 0 0, L_0x10b1d70;  1 drivers
v0xc646a0_0 .net *"_s222", 0 0, L_0x10b22b0;  1 drivers
v0xc64780_0 .net *"_s225", 0 0, L_0x10b21c0;  1 drivers
v0xc64860_0 .net *"_s226", 0 0, L_0x10b2610;  1 drivers
v0xc64940_0 .net *"_s229", 0 0, L_0x10b2680;  1 drivers
v0xc64a20_0 .net *"_s230", 0 0, L_0x10b2720;  1 drivers
v0xc64b00_0 .net *"_s233", 0 0, L_0x10b2830;  1 drivers
v0xc64be0_0 .net *"_s234", 0 0, L_0x10b2960;  1 drivers
L_0x7fa2a99e8840 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc64cc0_0 .net/2u *"_s24", 0 0, L_0x7fa2a99e8840;  1 drivers
L_0x7fa2a99e8b58 .functor BUFT 1, C4<0000000000000000>, C4<0>, C4<0>, C4<0>;
v0xc64da0_0 .net/2u *"_s240", 15 0, L_0x7fa2a99e8b58;  1 drivers
v0xc64e80_0 .net *"_s242", 0 0, L_0x10b2bf0;  1 drivers
L_0x7fa2a99e8ba0 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0xc63210_0 .net/2u *"_s244", 0 0, L_0x7fa2a99e8ba0;  1 drivers
L_0x7fa2a99e8be8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc632f0_0 .net/2u *"_s246", 0 0, L_0x7fa2a99e8be8;  1 drivers
v0xc65330_0 .net *"_s255", 0 0, L_0x10b2e40;  1 drivers
v0xc653d0_0 .net *"_s257", 0 0, L_0x10b2ee0;  1 drivers
v0xc65470_0 .net *"_s258", 0 0, L_0x10b3150;  1 drivers
v0xc65550_0 .net *"_s26", 16 0, L_0x109ca90;  1 drivers
v0xc65630_0 .net *"_s260", 0 0, L_0x10b31c0;  1 drivers
v0xc65710_0 .net *"_s263", 0 0, L_0x10b33d0;  1 drivers
v0xc657f0_0 .net *"_s264", 0 0, L_0x10b3470;  1 drivers
v0xc658d0_0 .net *"_s266", 0 0, L_0x10b37e0;  1 drivers
v0xc659b0_0 .net *"_s269", 0 0, L_0x10b38f0;  1 drivers
v0xc65a90_0 .net *"_s270", 0 0, L_0x10b34e0;  1 drivers
v0xc65b70_0 .net *"_s272", 0 0, L_0x10b35a0;  1 drivers
v0xc65c50_0 .net *"_s275", 0 0, L_0x10b36b0;  1 drivers
v0xc65d30_0 .net *"_s276", 0 0, L_0x10b3280;  1 drivers
v0xc65e10_0 .net *"_s278", 0 0, L_0x10b3340;  1 drivers
L_0x7fa2a99e8888 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc65ef0_0 .net/2u *"_s28", 0 0, L_0x7fa2a99e8888;  1 drivers
v0xc65fd0_0 .net *"_s281", 0 0, L_0x10b3c30;  1 drivers
v0xc660b0_0 .net *"_s282", 0 0, L_0x10b2f80;  1 drivers
v0xc66190_0 .net *"_s284", 0 0, L_0x10b3d20;  1 drivers
v0xc66270_0 .net *"_s287", 0 0, L_0x10b3e30;  1 drivers
v0xc66350_0 .net *"_s288", 0 0, L_0x10b3ed0;  1 drivers
v0xc66430_0 .net *"_s290", 0 0, L_0x10b3bb0;  1 drivers
v0xc66510_0 .net *"_s293", 0 0, L_0x10b3a30;  1 drivers
v0xc665f0_0 .net *"_s294", 0 0, L_0x10b3ad0;  1 drivers
v0xc666d0_0 .net *"_s296", 0 0, L_0x10b40b0;  1 drivers
v0xc667b0_0 .net *"_s299", 0 0, L_0x10b4400;  1 drivers
v0xc66890_0 .net *"_s30", 16 0, L_0x109cbf0;  1 drivers
v0xc66970_0 .net *"_s300", 0 0, L_0x10b44a0;  1 drivers
v0xc66a50_0 .net *"_s302", 0 0, L_0x10b4850;  1 drivers
v0xc66b30_0 .net *"_s305", 0 0, L_0x10b41c0;  1 drivers
v0xc66c10_0 .net *"_s306", 0 0, L_0x10b4260;  1 drivers
v0xc66cf0_0 .net *"_s308", 0 0, L_0x10b4560;  1 drivers
v0xc66dd0_0 .net *"_s311", 0 0, L_0x10b4960;  1 drivers
v0xc66eb0_0 .net *"_s312", 0 0, L_0x10b4a00;  1 drivers
v0xc66f90_0 .net *"_s314", 0 0, L_0x10b4de0;  1 drivers
v0xc67070_0 .net *"_s317", 0 0, L_0x10b4ea0;  1 drivers
v0xc67150_0 .net *"_s318", 0 0, L_0x10b4b10;  1 drivers
v0xc67230_0 .net *"_s32", 16 0, L_0x109cda0;  1 drivers
v0xc67310_0 .net *"_s320", 0 0, L_0x10b4bd0;  1 drivers
v0xc673f0_0 .net *"_s323", 0 0, L_0x10b4ce0;  1 drivers
v0xc674d0_0 .net *"_s324", 0 0, L_0x10b5220;  1 drivers
v0xc675b0_0 .net *"_s326", 0 0, L_0x10b5290;  1 drivers
v0xc67690_0 .net *"_s329", 0 0, L_0x10b4670;  1 drivers
v0xc67770_0 .net *"_s330", 0 0, L_0x10b3fa0;  1 drivers
v0xc67850_0 .net *"_s332", 0 0, L_0x10b4710;  1 drivers
v0xc67930_0 .net *"_s335", 0 0, L_0x10b5140;  1 drivers
v0xc67a10_0 .net *"_s336", 0 0, L_0x10b47d0;  1 drivers
v0xc67af0_0 .net *"_s338", 0 0, L_0x10b53f0;  1 drivers
L_0x7fa2a99e88d0 .functor BUFT 1, C4<0000000000000000>, C4<0>, C4<0>, C4<0>;
v0xc67bd0_0 .net/2u *"_s34", 15 0, L_0x7fa2a99e88d0;  1 drivers
v0xc67cb0_0 .net *"_s341", 0 0, L_0x10b4f40;  1 drivers
v0xc67d90_0 .net *"_s342", 0 0, L_0x10b5500;  1 drivers
v0xc67e70_0 .net *"_s347", 0 0, L_0x10b59c0;  1 drivers
v0xc67f50_0 .net *"_s349", 0 0, L_0x10b5a60;  1 drivers
v0xc68030_0 .net *"_s350", 0 0, L_0x10b5b00;  1 drivers
v0xc68110_0 .net *"_s353", 0 0, L_0x10b5fb0;  1 drivers
v0xc681f0_0 .net *"_s354", 0 0, L_0x10b5c10;  1 drivers
v0xc682d0_0 .net *"_s357", 0 0, L_0x10b57a0;  1 drivers
v0xc683b0_0 .net *"_s358", 0 0, L_0x10b5840;  1 drivers
v0xc68490_0 .net *"_s36", 16 0, L_0x109ce40;  1 drivers
v0xc68570_0 .net *"_s361", 0 0, L_0x10b5c80;  1 drivers
v0xc68650_0 .net *"_s362", 0 0, L_0x10b5950;  1 drivers
v0xc68730_0 .net *"_s365", 0 0, L_0x10b5dc0;  1 drivers
v0xc68810_0 .net *"_s366", 0 0, L_0x10b5e60;  1 drivers
v0xc64f60_0 .net *"_s369", 0 0, L_0x10b60f0;  1 drivers
v0xc65040_0 .net *"_s371", 0 0, L_0x10b6190;  1 drivers
v0xc65120_0 .net *"_s372", 0 0, L_0x10b6230;  1 drivers
v0xc65200_0 .net *"_s374", 0 0, L_0x10b6590;  1 drivers
v0xc690c0_0 .net *"_s377", 0 0, L_0x10b66a0;  1 drivers
v0xc69160_0 .net *"_s378", 0 0, L_0x10b6740;  1 drivers
v0xc69240_0 .net *"_s38", 16 0, L_0x10ad040;  1 drivers
v0xc69320_0 .net *"_s381", 0 0, L_0x10b6340;  1 drivers
v0xc69400_0 .net *"_s382", 0 0, L_0x10b63e0;  1 drivers
v0xc694e0_0 .net *"_s385", 0 0, L_0x10b64f0;  1 drivers
v0xc695c0_0 .net *"_s386", 0 0, L_0x10b6850;  1 drivers
v0xc696a0_0 .net *"_s389", 0 0, L_0x10b6960;  1 drivers
v0xc69780_0 .net *"_s390", 0 0, L_0x10b6d60;  1 drivers
v0xc69860_0 .net *"_s393", 0 0, L_0x10b6e70;  1 drivers
v0xc69940_0 .net *"_s394", 0 0, L_0x10b6f10;  1 drivers
v0xc69a20_0 .net *"_s397", 0 0, L_0x10b7020;  1 drivers
v0xc69b00_0 .net *"_s398", 0 0, L_0x109cfb0;  1 drivers
v0xc69be0_0 .net *"_s401", 0 0, L_0x10b5570;  1 drivers
v0xc69cc0_0 .net *"_s402", 0 0, L_0x10b5610;  1 drivers
v0xc69da0_0 .net *"_s405", 0 0, L_0x10b6c90;  1 drivers
v0xc69e80_0 .net *"_s413", 0 0, L_0x10b7310;  1 drivers
v0xc69f60_0 .net *"_s415", 0 0, L_0x10b6a00;  1 drivers
v0xc6a040_0 .net *"_s423", 0 0, L_0x10b7b50;  1 drivers
v0xc6a120_0 .net *"_s425", 0 0, L_0x10b7bf0;  1 drivers
L_0x7fa2a99e8918 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc6a200_0 .net/2u *"_s43", 0 0, L_0x7fa2a99e8918;  1 drivers
v0xc6a2e0_0 .net *"_s433", 0 0, L_0x10b78a0;  1 drivers
v0xc6a3c0_0 .net *"_s435", 0 0, L_0x10b7970;  1 drivers
v0xc6a4a0_0 .net *"_s443", 0 0, L_0x10b8000;  1 drivers
v0xc6a580_0 .net *"_s445", 0 0, L_0x10b80a0;  1 drivers
v0xc6a660_0 .net *"_s45", 16 0, L_0x1096080;  1 drivers
v0xc6a740_0 .net *"_s453", 0 0, L_0x10b8610;  1 drivers
v0xc6a820_0 .net *"_s455", 0 0, L_0x10b86b0;  1 drivers
L_0x7fa2a99e8960 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc6a900_0 .net/2u *"_s47", 0 0, L_0x7fa2a99e8960;  1 drivers
v0xc6a9e0_0 .net *"_s49", 16 0, L_0x10ad650;  1 drivers
v0xc6aac0_0 .net *"_s51", 16 0, L_0x10ad3a0;  1 drivers
L_0x7fa2a99e89a8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc6aba0_0 .net/2u *"_s56", 0 0, L_0x7fa2a99e89a8;  1 drivers
v0xc6ac80_0 .net *"_s58", 16 0, L_0x10ad9f0;  1 drivers
L_0x7fa2a99e89f0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc6ad60_0 .net/2u *"_s60", 0 0, L_0x7fa2a99e89f0;  1 drivers
v0xc6ae40_0 .net *"_s62", 16 0, L_0x10ad8e0;  1 drivers
v0xc6af20_0 .net *"_s64", 16 0, L_0x10adc00;  1 drivers
L_0x7fa2a99e8a38 .functor BUFT 1, C4<0000000000000000>, C4<0>, C4<0>, C4<0>;
v0xc6b000_0 .net/2u *"_s66", 15 0, L_0x7fa2a99e8a38;  1 drivers
v0xc6b0e0_0 .net *"_s68", 16 0, L_0x10adb10;  1 drivers
v0xc6b1c0_0 .net *"_s70", 16 0, L_0x10adea0;  1 drivers
L_0x7fa2a99e8a80 .functor BUFT 1, C4<0000000000000000>, C4<0>, C4<0>, C4<0>;
v0xc6b2a0_0 .net/2u *"_s74", 15 0, L_0x7fa2a99e8a80;  1 drivers
v0xc6b380_0 .net *"_s79", 0 0, L_0x10ae300;  1 drivers
v0xc6b460_0 .net *"_s81", 14 0, L_0x10ae040;  1 drivers
L_0x7fa2a99e8ac8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc6b540_0 .net/2u *"_s84", 0 0, L_0x7fa2a99e8ac8;  1 drivers
v0xc6b620_0 .net *"_s87", 14 0, L_0x10ae3a0;  1 drivers
v0xc6b700_0 .net *"_s91", 14 0, L_0x10ae540;  1 drivers
L_0x7fa2a99e8b10 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc6b7e0_0 .net/2u *"_s92", 0 0, L_0x7fa2a99e8b10;  1 drivers
v0xc6b8c0_0 .net *"_s97", 14 0, L_0x10ae740;  1 drivers
v0xc6b9a0_0 .net "a_in", 15 0, v0xc7f620_0;  1 drivers
v0xc6ba80_0 .net "adc16_r", 15 0, L_0x10afc00;  1 drivers
v0xc6bb60_0 .net "adc16_w", 15 0, L_0x109c9c0;  1 drivers
v0xc6bc40_0 .net "add16_r", 15 0, L_0x10af190;  1 drivers
v0xc6bd20_0 .net "add16_w", 15 0, L_0x109c440;  1 drivers
v0xc6be00_0 .net "and16_r", 15 0, L_0x10b88c0;  1 drivers
v0xc6bee0_0 .net "and16_w", 15 0, L_0x10ae130;  1 drivers
v0xc6bfc0_0 .net "asr16_r", 15 0, L_0x10b71b0;  1 drivers
v0xc6c0a0_0 .net "asr16_w", 15 0, L_0x10ae4a0;  1 drivers
v0xc6c180_0 .net "b_in", 15 0, v0xc7f710_0;  1 drivers
v0xc6c260_0 .var "c16", 0 0;
v0xc6c320_0 .net "c_in", 0 0, L_0x1092550;  1 drivers
v0xc6c3e0_0 .net "cadc16_r", 0 0, L_0x10b0100;  1 drivers
v0xc6c4a0_0 .net "cadc16_w", 0 0, L_0x109c8c0;  1 drivers
v0xc6c560_0 .net "cadd16_r", 0 0, L_0x10af0f0;  1 drivers
v0xc6c620_0 .net "cadd16_w", 0 0, L_0x109c3a0;  1 drivers
v0xc6c6e0_0 .net "cand16_r", 0 0, L_0x10b8980;  1 drivers
v0xc6c7a0_0 .net "casr16_r", 0 0, L_0x10b7270;  1 drivers
v0xc6c860_0 .net "ccom16_r", 0 0, L_0x10b30b0;  1 drivers
v0xc6c920_0 .net "clk_in", 0 0, v0x108d320_0;  alias, 1 drivers
v0xc6c9f0_0 .net "cmul16_r", 0 0, L_0x10b8ff0;  1 drivers
v0xc6ca90_0 .net "cneg16_r", 0 0, L_0x10b5720;  1 drivers
v0xc6cb50_0 .net "com16_r", 15 0, L_0x10b2b30;  1 drivers
v0xc6cc30_0 .net "com16_w", 15 0, L_0x109c7b0;  1 drivers
v0xc6cd10_0 .net "crol16_r", 0 0, L_0x10b8570;  1 drivers
v0xc6cdd0_0 .net "cror16_r", 0 0, L_0x10b7f60;  1 drivers
v0xc6ce90_0 .net "csbc16_r", 0 0, L_0x10b1ea0;  1 drivers
v0xc6cf50_0 .net "csbc16_w", 0 0, L_0x10ad840;  1 drivers
v0xc6d010_0 .net "cshl16_r", 0 0, L_0x10b7800;  1 drivers
v0xc6d0d0_0 .net "cshr16_r", 0 0, L_0x10b7ab0;  1 drivers
v0xc6d190_0 .net "csub16_r", 0 0, L_0x10b0c50;  1 drivers
v0xc6d250_0 .net "csub16_w", 0 0, L_0x10ad210;  1 drivers
v0xc6d310_0 .net "eor16_r", 15 0, L_0x10b8ae0;  1 drivers
v0xc6d3f0_0 .net "eor16_w", 15 0, L_0x109ccc0;  1 drivers
v0xc6d4d0_0 .net "mul16_r", 15 0, v0xc61170_0;  1 drivers
v0xc6d5c0_0 .var "n16", 0 0;
v0xc6d660_0 .net "n_in", 0 0, L_0x109c1c0;  1 drivers
v0xc6d720_0 .net "neg16_r", 15 0, L_0x10b2d80;  1 drivers
v0xc6d800_0 .net "neg16_w", 15 0, L_0x10add40;  1 drivers
v0xc6d8e0_0 .net "opcode_in", 4 0, v0xc7fe60_0;  alias, 1 drivers
v0xc6d9c0_0 .net "or16_r", 15 0, L_0x10b89f0;  1 drivers
v0xc6daa0_0 .net "or16_w", 15 0, L_0x10aebb0;  1 drivers
v0xc6db80_0 .var "q16", 15 0;
v0xc6dc60_0 .var "q_out", 15 0;
v0xc6dd40_0 .var "reg_n_in", 0 0;
v0xc6de00_0 .var "reg_z_in", 0 0;
v0xc6dec0_0 .var "regq16", 15 0;
v0xc6dfa0_0 .net "rol16_r", 15 0, L_0x10af020;  1 drivers
v0xc6e080_0 .net "rol16_w", 15 0, L_0x10aecf0;  1 drivers
v0xc6e160_0 .net "ror16_r", 15 0, L_0x10b7ea0;  1 drivers
v0xc6e240_0 .net "ror16_w", 15 0, L_0x10aea80;  1 drivers
v0xc6e320_0 .net "sbc16_r", 15 0, L_0x10b1a40;  1 drivers
v0xc6e400_0 .net "sbc16_w", 15 0, L_0x10ad6f0;  1 drivers
v0xc6e4e0_0 .net "shl16_r", 15 0, L_0x10b81d0;  1 drivers
v0xc6e5c0_0 .net "shl16_w", 15 0, L_0x10ae860;  1 drivers
v0xc6e6a0_0 .net "shr16_r", 15 0, L_0x10b6bb0;  1 drivers
v0xc6e780_0 .net "shr16_w", 15 0, L_0x10ae650;  1 drivers
v0xc6e860_0 .net "sub16_r", 15 0, L_0x10b10c0;  1 drivers
v0xc6e940_0 .net "sub16_w", 15 0, L_0x10ad2b0;  1 drivers
v0xc6ea20_0 .var "v16", 0 0;
v0xc6eae0_0 .net "v_in", 0 0, L_0x109c260;  1 drivers
v0xc6eba0_0 .net "vadc16_r", 0 0, L_0x10b09c0;  1 drivers
v0xc6ec60_0 .net "vadd16_r", 0 0, L_0x10aff80;  1 drivers
L_0x7fa2a99e8c78 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc6ed20_0 .net "vand16_r", 0 0, L_0x7fa2a99e8c78;  1 drivers
v0xc6ede0_0 .net "vasr16_r", 0 0, L_0x10b6aa0;  1 drivers
L_0x7fa2a99e8c30 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc6eea0_0 .net "vcom16_r", 0 0, L_0x7fa2a99e8c30;  1 drivers
v0xc6ef60_0 .net "vneg16_r", 0 0, L_0x10b5030;  1 drivers
v0xc6f020_0 .net "vrol16_r", 0 0, L_0x10b8780;  1 drivers
v0xc6f0e0_0 .net "vror16_r", 0 0, L_0x10aeee0;  1 drivers
v0xc6f1a0_0 .net "vsbc16_r", 0 0, L_0x10b2a20;  1 drivers
v0xc6f260_0 .net "vshl16_r", 0 0, L_0x10b7a40;  1 drivers
v0xc6f320_0 .net "vshr16_r", 0 0, L_0x10b7cc0;  1 drivers
v0xc6f3e0_0 .net "vsub16_r", 0 0, L_0x10b1970;  1 drivers
v0xc6f4a0_0 .var "z16", 0 0;
v0xc6f560_0 .net "z_in", 0 0, L_0x109c300;  1 drivers
E_0xa52ec0/0 .event edge, v0xc6db80_0, v0xc6d5c0_0, v0xc6f4a0_0, v0xc6ea20_0;
E_0xa52ec0/1 .event edge, v0xc6c260_0;
E_0xa52ec0 .event/or E_0xa52ec0/0, E_0xa52ec0/1;
E_0xa809a0 .event edge, v0xc6db80_0, v0xc6d8e0_0, v0xc6dd40_0, v0xc6de00_0;
E_0xa78c50/0 .event edge, v0xc6c320_0, v0xc6eae0_0, v0xc6d8e0_0, v0xc6bc40_0;
E_0xa78c50/1 .event edge, v0xc6c560_0, v0xc6ec60_0, v0xc6ba80_0, v0xc6c3e0_0;
E_0xa78c50/2 .event edge, v0xc6eba0_0, v0xc6e860_0, v0xc6d190_0, v0xc6f3e0_0;
E_0xa78c50/3 .event edge, v0xc6e320_0, v0xc6ce90_0, v0xc6f1a0_0, v0xc612a0_0;
E_0xa78c50/4 .event edge, v0xc6c9f0_0, v0xc6c180_0, v0xc6b9a0_0;
E_0xa78c50 .event/or E_0xa78c50/0, E_0xa78c50/1, E_0xa78c50/2, E_0xa78c50/3, E_0xa78c50/4;
L_0x1092550 .part L_0x10b90c0, 0, 1;
L_0x109c1c0 .part L_0x10b90c0, 3, 1;
L_0x109c260 .part L_0x10b90c0, 1, 1;
L_0x109c300 .part L_0x10b90c0, 2, 1;
L_0x109c3a0 .part L_0x109c710, 16, 1;
L_0x109c440 .part L_0x109c710, 0, 16;
L_0x109c4e0 .concat [ 16 1 0 0], v0xc7f620_0, L_0x7fa2a99e87b0;
L_0x109c5d0 .concat [ 16 1 0 0], v0xc7f710_0, L_0x7fa2a99e87f8;
L_0x109c710 .arith/sum 17, L_0x109c4e0, L_0x109c5d0;
L_0x109c8c0 .part L_0x10ad040, 16, 1;
L_0x109c9c0 .part L_0x10ad040, 0, 16;
L_0x109ca90 .concat [ 16 1 0 0], v0xc7f620_0, L_0x7fa2a99e8840;
L_0x109cbf0 .concat [ 16 1 0 0], v0xc7f710_0, L_0x7fa2a99e8888;
L_0x109cda0 .arith/sum 17, L_0x109ca90, L_0x109cbf0;
L_0x109ce40 .concat [ 1 16 0 0], L_0x1092550, L_0x7fa2a99e88d0;
L_0x10ad040 .arith/sum 17, L_0x109cda0, L_0x109ce40;
L_0x10ad210 .part L_0x10ad3a0, 16, 1;
L_0x10ad2b0 .part L_0x10ad3a0, 0, 16;
L_0x1096080 .concat [ 16 1 0 0], v0xc7f620_0, L_0x7fa2a99e8918;
L_0x10ad650 .concat [ 16 1 0 0], v0xc7f710_0, L_0x7fa2a99e8960;
L_0x10ad3a0 .arith/sub 17, L_0x1096080, L_0x10ad650;
L_0x10ad840 .part L_0x10adea0, 16, 1;
L_0x10ad6f0 .part L_0x10adea0, 0, 16;
L_0x10ad9f0 .concat [ 16 1 0 0], v0xc7f620_0, L_0x7fa2a99e89a8;
L_0x10ad8e0 .concat [ 16 1 0 0], v0xc7f710_0, L_0x7fa2a99e89f0;
L_0x10adc00 .arith/sub 17, L_0x10ad9f0, L_0x10ad8e0;
L_0x10adb10 .concat [ 1 16 0 0], L_0x1092550, L_0x7fa2a99e8a38;
L_0x10adea0 .arith/sub 17, L_0x10adc00, L_0x10adb10;
L_0x10add40 .arith/sub 16, L_0x7fa2a99e8a80, v0xc7f620_0;
L_0x10ae300 .part v0xc7f620_0, 15, 1;
L_0x10ae040 .part v0xc7f620_0, 1, 15;
L_0x10ae4a0 .concat [ 15 1 0 0], L_0x10ae040, L_0x10ae300;
L_0x10ae3a0 .part v0xc7f620_0, 1, 15;
L_0x10ae650 .concat [ 15 1 0 0], L_0x10ae3a0, L_0x7fa2a99e8ac8;
L_0x10ae540 .part v0xc7f620_0, 0, 15;
L_0x10ae860 .concat [ 1 15 0 0], L_0x7fa2a99e8b10, L_0x10ae540;
L_0x10ae740 .part v0xc7f620_0, 1, 15;
L_0x10aea80 .concat [ 15 1 0 0], L_0x10ae740, L_0x1092550;
L_0x10ae950 .part v0xc7f620_0, 0, 15;
L_0x10aecf0 .concat [ 1 15 0 0], L_0x1092550, L_0x10ae950;
L_0x10af0f0 .part L_0x10aed90, 16, 1;
L_0x10af190 .part L_0x10aed90, 0, 16;
L_0x10aed90 .concat [ 16 1 0 0], L_0x109c440, L_0x109c3a0;
L_0x10af410 .part v0xc7f620_0, 15, 1;
L_0x10af2b0 .part v0xc7f710_0, 15, 1;
L_0x10af6f0 .part L_0x109c440, 15, 1;
L_0x10af9b0 .part v0xc7f620_0, 15, 1;
L_0x10afb60 .part v0xc7f710_0, 15, 1;
L_0x10afde0 .part L_0x109c440, 15, 1;
L_0x10b0100 .part L_0x10afcf0, 16, 1;
L_0x10afc00 .part L_0x10afcf0, 0, 16;
L_0x10afcf0 .concat [ 16 1 0 0], L_0x109c440, L_0x109c3a0;
L_0x10b0350 .part v0xc7f620_0, 15, 1;
L_0x10b03f0 .part v0xc7f710_0, 15, 1;
L_0x10b0650 .part L_0x109c440, 15, 1;
L_0x10b07e0 .part v0xc7f620_0, 15, 1;
L_0x10b0a50 .part v0xc7f710_0, 15, 1;
L_0x10b0cf0 .part L_0x109c9c0, 15, 1;
L_0x10b0c50 .part L_0x10b0d90, 16, 1;
L_0x10b10c0 .part L_0x10b0d90, 0, 16;
L_0x10b0d90 .concat [ 16 1 0 0], L_0x10ad2b0, L_0x10ad210;
L_0x10b0ed0 .part v0xc7f620_0, 15, 1;
L_0x10b13b0 .part v0xc7f710_0, 15, 1;
L_0x10b1560 .part L_0x109c440, 15, 1;
L_0x10b1810 .part v0xc7f620_0, 15, 1;
L_0x10b1270 .part v0xc7f710_0, 15, 1;
L_0x10b1740 .part L_0x10ad2b0, 15, 1;
L_0x10b1ea0 .part L_0x10b1b30, 16, 1;
L_0x10b1a40 .part L_0x10b1b30, 0, 16;
L_0x10b1b30 .concat [ 16 1 0 0], L_0x10ad6f0, L_0x10ad840;
L_0x10b1f40 .part v0xc7f620_0, 15, 1;
L_0x10b1fe0 .part v0xc7f710_0, 15, 1;
L_0x10ae240 .part L_0x10ad6f0, 15, 1;
L_0x10b21c0 .part v0xc7f620_0, 15, 1;
L_0x10b2680 .part v0xc7f710_0, 15, 1;
L_0x10b2830 .part L_0x10ad6f0, 15, 1;
L_0x10b2bf0 .cmp/ne 16, L_0x109c7b0, L_0x7fa2a99e8b58;
L_0x10b30b0 .functor MUXZ 1, L_0x7fa2a99e8be8, L_0x7fa2a99e8ba0, L_0x10b2bf0, C4<>;
L_0x10b2e40 .part L_0x10add40, 15, 1;
L_0x10b2ee0 .part L_0x10add40, 14, 1;
L_0x10b33d0 .part L_0x10add40, 13, 1;
L_0x10b38f0 .part L_0x10add40, 12, 1;
L_0x10b36b0 .part L_0x10add40, 11, 1;
L_0x10b3c30 .part L_0x10add40, 10, 1;
L_0x10b3e30 .part L_0x10add40, 9, 1;
L_0x10b3a30 .part L_0x10add40, 8, 1;
L_0x10b4400 .part L_0x10add40, 7, 1;
L_0x10b41c0 .part L_0x10add40, 6, 1;
L_0x10b4960 .part L_0x10add40, 5, 1;
L_0x10b4ea0 .part L_0x10add40, 4, 1;
L_0x10b4ce0 .part L_0x10add40, 3, 1;
L_0x10b4670 .part L_0x10add40, 2, 1;
L_0x10b5140 .part L_0x10add40, 1, 1;
L_0x10b4f40 .part L_0x10add40, 0, 1;
L_0x10b59c0 .part L_0x10add40, 15, 1;
L_0x10b5a60 .part L_0x10add40, 14, 1;
L_0x10b5fb0 .part L_0x10add40, 13, 1;
L_0x10b57a0 .part L_0x10add40, 12, 1;
L_0x10b5c80 .part L_0x10add40, 11, 1;
L_0x10b5dc0 .part L_0x10add40, 10, 1;
L_0x10b60f0 .part L_0x10add40, 9, 1;
L_0x10b6190 .part L_0x10add40, 8, 1;
L_0x10b66a0 .part L_0x10add40, 7, 1;
L_0x10b6340 .part L_0x10add40, 6, 1;
L_0x10b64f0 .part L_0x10add40, 5, 1;
L_0x10b6960 .part L_0x10add40, 4, 1;
L_0x10b6e70 .part L_0x10add40, 3, 1;
L_0x10b7020 .part L_0x10add40, 2, 1;
L_0x10b5570 .part L_0x10add40, 1, 1;
L_0x10b6c90 .part L_0x10add40, 0, 1;
L_0x10b7270 .part v0xc7f620_0, 0, 1;
L_0x10b7310 .part v0xc7f620_0, 0, 1;
L_0x10b6a00 .part L_0x10ae4a0, 15, 1;
L_0x10b7ab0 .part v0xc7f620_0, 0, 1;
L_0x10b7b50 .part v0xc7f620_0, 0, 1;
L_0x10b7bf0 .part L_0x10ae650, 15, 1;
L_0x10b7800 .part v0xc7f620_0, 15, 1;
L_0x10b78a0 .part v0xc7f620_0, 15, 1;
L_0x10b7970 .part L_0x10ae860, 15, 1;
L_0x10b7f60 .part v0xc7f620_0, 0, 1;
L_0x10b8000 .part v0xc7f620_0, 0, 1;
L_0x10b80a0 .part L_0x10aea80, 15, 1;
L_0x10b8570 .part v0xc7f620_0, 15, 1;
L_0x10b8610 .part v0xc7f620_0, 15, 1;
L_0x10b86b0 .part L_0x10aecf0, 15, 1;
L_0x10b8350 .part v0xc7f620_0, 0, 8;
L_0x10b8420 .part v0xc7f710_0, 0, 8;
L_0x10b8ff0 .part v0xc61170_0, 7, 1;
S_0xb40d50 .scope module, "mulu" "mul8x8" 4 435, 4 650 0, S_0xb454e0;
 .timescale -9 -9;
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 1 /INPUT 8 "a"
    .port_info 2 /INPUT 8 "b"
    .port_info 3 /OUTPUT 16 "q"
v0xbf2ee0_0 .net "a", 7 0, L_0x10b8350;  1 drivers
v0xc60ee0_0 .net "b", 7 0, L_0x10b8420;  1 drivers
v0xc60fc0_0 .net "clk_in", 0 0, v0x108d320_0;  alias, 1 drivers
v0xc61090_0 .var "pipe0", 15 0;
v0xc61170_0 .var "pipe1", 15 0;
v0xc612a0_0 .net "q", 15 0, v0xc61170_0;  alias, 1 drivers
E_0xa76e90 .event posedge, v0xc60fc0_0;
S_0xc6f740 .scope module, "alu8" "alu8" 4 32, 4 57 0, S_0xc1f570;
 .timescale -9 -9;
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 1 /INPUT 16 "a_in"
    .port_info 2 /INPUT 16 "b_in"
    .port_info 3 /INPUT 8 "CCR"
    .port_info 4 /INPUT 5 "opcode_in"
    .port_info 5 /OUTPUT 8 "q_out"
    .port_info 6 /OUTPUT 8 "CCRo"
L_0x108efb0 .functor NOT 8, L_0x1090dd0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x1091050 .functor AND 8, L_0x1091a00, L_0x1091d10, C4<11111111>, C4<11111111>;
L_0x1091c30 .functor OR 8, L_0x1091e50, L_0x1091b60, C4<00000000>, C4<00000000>;
L_0x1091f90 .functor XOR 8, L_0x1092150, L_0x1091ef0, C4<00000000>, C4<00000000>;
L_0x1091350 .functor AND 1, L_0x10924b0, L_0x10912b0, C4<1>, C4<1>;
L_0x1092820 .functor NOT 1, L_0x1092780, C4<0>, C4<0>, C4<0>;
L_0x1092d30 .functor AND 1, L_0x1091350, L_0x1092820, C4<1>, C4<1>;
L_0x1092b30 .functor NOT 1, L_0x1092e40, C4<0>, C4<0>, C4<0>;
L_0x10930f0 .functor NOT 1, L_0x1092c40, C4<0>, C4<0>, C4<0>;
L_0x1093160 .functor AND 1, L_0x1092b30, L_0x10930f0, C4<1>, C4<1>;
L_0x1092ee0 .functor AND 1, L_0x1093160, L_0x1093270, C4<1>, C4<1>;
L_0x1092f50 .functor OR 1, L_0x1092d30, L_0x1092ee0, C4<0>, C4<0>;
L_0x1093990 .functor AND 1, L_0x1093440, L_0x10934e0, C4<1>, C4<1>;
L_0x1093750 .functor NOT 1, L_0x1093aa0, C4<0>, C4<0>, C4<0>;
L_0x1093810 .functor AND 1, L_0x1093990, L_0x1093750, C4<1>, C4<1>;
L_0x1093920 .functor NOT 1, L_0x1093d90, C4<0>, C4<0>, C4<0>;
L_0x1093b40 .functor NOT 1, L_0x1093e80, C4<0>, C4<0>, C4<0>;
L_0x1093c30 .functor AND 1, L_0x1093920, L_0x1093b40, C4<1>, C4<1>;
L_0x1093060 .functor AND 1, L_0x1093c30, L_0x1094220, C4<1>, C4<1>;
L_0x1094360 .functor OR 1, L_0x1093810, L_0x1093060, C4<0>, C4<0>;
L_0x1094520 .functor NOT 1, L_0x1094840, C4<0>, C4<0>, C4<0>;
L_0x10945e0 .functor AND 1, L_0x10947a0, L_0x1094520, C4<1>, C4<1>;
L_0x1094470 .functor NOT 1, L_0x10946f0, C4<0>, C4<0>, C4<0>;
L_0x1094c80 .functor AND 1, L_0x10945e0, L_0x1094470, C4<1>, C4<1>;
L_0x10948e0 .functor NOT 1, L_0x1094b70, C4<0>, C4<0>, C4<0>;
L_0x1094a40 .functor AND 1, L_0x10948e0, L_0x10949a0, C4<1>, C4<1>;
L_0x1094e30 .functor AND 1, L_0x1094a40, L_0x1094d90, C4<1>, C4<1>;
L_0x1094ef0 .functor OR 1, L_0x1094c80, L_0x1094e30, C4<0>, C4<0>;
L_0x10958e0 .functor AND 1, L_0x10953b0, L_0x1095450, C4<1>, C4<1>;
L_0x1095610 .functor NOT 1, L_0x10959f0, C4<0>, C4<0>, C4<0>;
L_0x10956d0 .functor OR 1, L_0x10958e0, L_0x1095610, C4<0>, C4<0>;
L_0x1095d70 .functor NOT 1, L_0x10957e0, C4<0>, C4<0>, C4<0>;
L_0x1095a90 .functor AND 1, L_0x1095d70, L_0x1095000, C4<1>, C4<1>;
L_0x1095cd0 .functor AND 1, L_0x1095a90, L_0x1095ba0, C4<1>, C4<1>;
L_0x1095de0 .functor OR 1, L_0x10956d0, L_0x1095cd0, C4<0>, C4<0>;
L_0x1096300 .functor BUFZ 8, L_0x108efb0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x1090530 .functor BUFZ 8, L_0x1090fb0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x1096620 .functor OR 1, L_0x10967f0, L_0x10964f0, C4<0>, C4<0>;
L_0x1096780 .functor OR 1, L_0x1096620, L_0x10966e0, C4<0>, C4<0>;
L_0x1096930 .functor OR 1, L_0x1096780, L_0x1096890, C4<0>, C4<0>;
L_0x1096c40 .functor OR 1, L_0x1096930, L_0x1096ba0, C4<0>, C4<0>;
L_0x1096590 .functor OR 1, L_0x1096c40, L_0x1097060, C4<0>, C4<0>;
L_0x1096cb0 .functor OR 1, L_0x1096590, L_0x10972b0, C4<0>, C4<0>;
L_0x1096e60 .functor OR 1, L_0x1096cb0, L_0x1096dc0, C4<0>, C4<0>;
L_0x1096ae0 .functor NOT 1, L_0x1096a40, C4<0>, C4<0>, C4<0>;
L_0x1097350 .functor AND 1, L_0x1096f70, L_0x1096ae0, C4<1>, C4<1>;
L_0x1097680 .functor NOT 1, L_0x10975e0, C4<0>, C4<0>, C4<0>;
L_0x1097770 .functor AND 1, L_0x1097350, L_0x1097680, C4<1>, C4<1>;
L_0x1097500 .functor NOT 1, L_0x1097460, C4<0>, C4<0>, C4<0>;
L_0x1097d90 .functor AND 1, L_0x1097770, L_0x1097500, C4<1>, C4<1>;
L_0x108f250 .functor NOT 1, L_0x1097c00, C4<0>, C4<0>, C4<0>;
L_0x1097ca0 .functor AND 1, L_0x1097d90, L_0x108f250, C4<1>, C4<1>;
L_0x1097a80 .functor NOT 1, L_0x10979e0, C4<0>, C4<0>, C4<0>;
L_0x1097100 .functor AND 1, L_0x1097ca0, L_0x1097a80, C4<1>, C4<1>;
L_0x1097f40 .functor NOT 1, L_0x1097ea0, C4<0>, C4<0>, C4<0>;
L_0x1098000 .functor AND 1, L_0x1097100, L_0x1097f40, C4<1>, C4<1>;
L_0x1097830 .functor NOT 1, L_0x10982e0, C4<0>, C4<0>, C4<0>;
L_0x10978f0 .functor AND 1, L_0x1098000, L_0x1097830, C4<1>, C4<1>;
L_0x1098610 .functor BUFZ 8, L_0x1090610, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x1098250 .functor XOR 1, L_0x1098110, L_0x10981b0, C4<0>, C4<0>;
L_0x1098a10 .functor BUFZ 8, L_0x1091530, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x1099090 .functor XOR 1, L_0x1098b70, L_0x1098c10, C4<0>, C4<0>;
L_0x1098820 .functor BUFZ 8, L_0x10913c0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x1098e50 .functor XOR 1, L_0x1098ce0, L_0x1098d80, C4<0>, C4<0>;
L_0x1098980 .functor BUFZ 8, L_0x1091710, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x10993a0 .functor XOR 1, L_0x10991a0, L_0x1099240, C4<0>, C4<0>;
L_0x10996b0 .functor BUFZ 8, L_0x10913c0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x10999f0 .functor XOR 1, L_0x1099850, L_0x1099920, C4<0>, C4<0>;
L_0x1099490 .functor BUFZ 8, L_0x1091050, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x1099550 .functor BUFZ 1, L_0x108e370, C4<0>, C4<0>, C4<0>;
L_0x10995f0 .functor BUFZ 8, L_0x1091c30, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x10928e0 .functor BUFZ 8, L_0x1091f90, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x1099cc0 .functor OR 1, L_0x1099b50, L_0x108e700, C4<0>, C4<0>;
L_0x7fa2a99e8690 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
L_0x109a9a0 .functor XNOR 1, L_0x108e370, L_0x7fa2a99e8690, C4<0>, C4<0>;
L_0x109aa60 .functor OR 1, L_0x109a860, L_0x109a9a0, C4<0>, C4<0>;
L_0x109a610 .functor AND 1, L_0x109a570, L_0x1099b50, C4<1>, C4<1>;
L_0x109a6d0 .functor OR 1, L_0x109aa60, L_0x109a610, C4<0>, C4<0>;
v0xc6fc10_0 .net "CCR", 7 0, L_0x10b90c0;  alias, 1 drivers
v0xc6fd20_0 .var "CCRo", 7 0;
v0xc6fde0_0 .net *"_s101", 0 0, L_0x1090e70;  1 drivers
v0xc6fed0_0 .net *"_s103", 6 0, L_0x1090f10;  1 drivers
L_0x7fa2a99e8330 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc6ffb0_0 .net/2u *"_s106", 0 0, L_0x7fa2a99e8330;  1 drivers
v0xc700e0_0 .net *"_s109", 6 0, L_0x1091200;  1 drivers
v0xc701c0_0 .net *"_s113", 6 0, L_0x1091670;  1 drivers
L_0x7fa2a99e8378 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc702a0_0 .net/2u *"_s114", 0 0, L_0x7fa2a99e8378;  1 drivers
v0xc70380_0 .net *"_s119", 6 0, L_0x1091890;  1 drivers
v0xc704f0_0 .net *"_s123", 6 0, L_0x1091ac0;  1 drivers
v0xc705d0_0 .net *"_s127", 7 0, L_0x1091a00;  1 drivers
v0xc706b0_0 .net *"_s129", 7 0, L_0x1091d10;  1 drivers
L_0x7fa2a99e8018 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc70790_0 .net/2u *"_s13", 0 0, L_0x7fa2a99e8018;  1 drivers
v0xc70870_0 .net *"_s133", 7 0, L_0x1091e50;  1 drivers
v0xc70950_0 .net *"_s135", 7 0, L_0x1091b60;  1 drivers
v0xc70a30_0 .net *"_s139", 7 0, L_0x1092150;  1 drivers
v0xc70b10_0 .net *"_s141", 7 0, L_0x1091ef0;  1 drivers
v0xc70cc0_0 .net *"_s147", 8 0, L_0x10922e0;  1 drivers
v0xc70d60_0 .net *"_s150", 0 0, L_0x10924b0;  1 drivers
v0xc70e40_0 .net *"_s152", 0 0, L_0x10912b0;  1 drivers
v0xc70f20_0 .net *"_s153", 0 0, L_0x1091350;  1 drivers
v0xc71000_0 .net *"_s156", 0 0, L_0x1092780;  1 drivers
v0xc710e0_0 .net *"_s157", 0 0, L_0x1092820;  1 drivers
v0xc711c0_0 .net *"_s159", 0 0, L_0x1092d30;  1 drivers
v0xc712a0_0 .net *"_s16", 7 0, L_0x108e9d0;  1 drivers
v0xc71380_0 .net *"_s162", 0 0, L_0x1092e40;  1 drivers
v0xc71460_0 .net *"_s163", 0 0, L_0x1092b30;  1 drivers
v0xc71540_0 .net *"_s166", 0 0, L_0x1092c40;  1 drivers
v0xc71620_0 .net *"_s167", 0 0, L_0x10930f0;  1 drivers
v0xc71700_0 .net *"_s169", 0 0, L_0x1093160;  1 drivers
v0xc717e0_0 .net *"_s17", 8 0, L_0x108eb20;  1 drivers
v0xc718c0_0 .net *"_s172", 0 0, L_0x1093270;  1 drivers
v0xc719a0_0 .net *"_s173", 0 0, L_0x1092ee0;  1 drivers
v0xc70bf0_0 .net *"_s180", 8 0, L_0x10933a0;  1 drivers
v0xc71c70_0 .net *"_s183", 0 0, L_0x1093440;  1 drivers
v0xc71d50_0 .net *"_s185", 0 0, L_0x10934e0;  1 drivers
v0xc71e30_0 .net *"_s186", 0 0, L_0x1093990;  1 drivers
v0xc71f10_0 .net *"_s189", 0 0, L_0x1093aa0;  1 drivers
L_0x7fa2a99e8060 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc71ff0_0 .net/2u *"_s19", 0 0, L_0x7fa2a99e8060;  1 drivers
v0xc720d0_0 .net *"_s190", 0 0, L_0x1093750;  1 drivers
v0xc721b0_0 .net *"_s192", 0 0, L_0x1093810;  1 drivers
v0xc72290_0 .net *"_s195", 0 0, L_0x1093d90;  1 drivers
v0xc72370_0 .net *"_s196", 0 0, L_0x1093920;  1 drivers
v0xc72450_0 .net *"_s199", 0 0, L_0x1093e80;  1 drivers
v0xc72530_0 .net *"_s200", 0 0, L_0x1093b40;  1 drivers
v0xc72610_0 .net *"_s202", 0 0, L_0x1093c30;  1 drivers
v0xc726f0_0 .net *"_s205", 0 0, L_0x1094220;  1 drivers
v0xc727d0_0 .net *"_s206", 0 0, L_0x1093060;  1 drivers
v0xc728b0_0 .net *"_s213", 8 0, L_0x1094010;  1 drivers
v0xc72990_0 .net *"_s216", 0 0, L_0x10947a0;  1 drivers
v0xc72a70_0 .net *"_s218", 0 0, L_0x1094840;  1 drivers
v0xc72b50_0 .net *"_s219", 0 0, L_0x1094520;  1 drivers
v0xc72c30_0 .net *"_s22", 7 0, L_0x108ec90;  1 drivers
v0xc72d10_0 .net *"_s221", 0 0, L_0x10945e0;  1 drivers
v0xc72df0_0 .net *"_s224", 0 0, L_0x10946f0;  1 drivers
v0xc72ed0_0 .net *"_s225", 0 0, L_0x1094470;  1 drivers
v0xc72fb0_0 .net *"_s227", 0 0, L_0x1094c80;  1 drivers
v0xc73090_0 .net *"_s23", 8 0, L_0x108edc0;  1 drivers
v0xc73170_0 .net *"_s230", 0 0, L_0x1094b70;  1 drivers
v0xc73250_0 .net *"_s231", 0 0, L_0x10948e0;  1 drivers
v0xc73330_0 .net *"_s234", 0 0, L_0x10949a0;  1 drivers
v0xc73410_0 .net *"_s235", 0 0, L_0x1094a40;  1 drivers
v0xc734f0_0 .net *"_s238", 0 0, L_0x1094d90;  1 drivers
v0xc735d0_0 .net *"_s239", 0 0, L_0x1094e30;  1 drivers
v0xc736b0_0 .net *"_s246", 8 0, L_0x1095270;  1 drivers
v0xc71a40_0 .net *"_s249", 0 0, L_0x10953b0;  1 drivers
v0xc71b20_0 .net *"_s25", 8 0, L_0x108eee0;  1 drivers
v0xc73b60_0 .net *"_s251", 0 0, L_0x1095450;  1 drivers
v0xc73c00_0 .net *"_s252", 0 0, L_0x10958e0;  1 drivers
v0xc73cc0_0 .net *"_s255", 0 0, L_0x10959f0;  1 drivers
v0xc73da0_0 .net *"_s256", 0 0, L_0x1095610;  1 drivers
v0xc73e80_0 .net *"_s258", 0 0, L_0x10956d0;  1 drivers
v0xc73f60_0 .net *"_s261", 0 0, L_0x10957e0;  1 drivers
v0xc74040_0 .net *"_s262", 0 0, L_0x1095d70;  1 drivers
v0xc74120_0 .net *"_s265", 0 0, L_0x1095000;  1 drivers
v0xc74200_0 .net *"_s266", 0 0, L_0x1095a90;  1 drivers
v0xc742e0_0 .net *"_s269", 0 0, L_0x1095ba0;  1 drivers
v0xc743c0_0 .net *"_s270", 0 0, L_0x1095cd0;  1 drivers
L_0x7fa2a99e83c0 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
v0xc744a0_0 .net/2u *"_s276", 7 0, L_0x7fa2a99e83c0;  1 drivers
v0xc74580_0 .net *"_s278", 0 0, L_0x10961e0;  1 drivers
L_0x7fa2a99e8408 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0xc74640_0 .net/2u *"_s280", 0 0, L_0x7fa2a99e8408;  1 drivers
L_0x7fa2a99e8450 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc74720_0 .net/2u *"_s282", 0 0, L_0x7fa2a99e8450;  1 drivers
v0xc74800_0 .net *"_s291", 0 0, L_0x10967f0;  1 drivers
v0xc748e0_0 .net *"_s293", 0 0, L_0x10964f0;  1 drivers
v0xc749c0_0 .net *"_s294", 0 0, L_0x1096620;  1 drivers
v0xc74aa0_0 .net *"_s297", 0 0, L_0x10966e0;  1 drivers
v0xc74b80_0 .net *"_s298", 0 0, L_0x1096780;  1 drivers
L_0x7fa2a99e80a8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc74c60_0 .net/2u *"_s30", 0 0, L_0x7fa2a99e80a8;  1 drivers
v0xc74d40_0 .net *"_s301", 0 0, L_0x1096890;  1 drivers
v0xc74e20_0 .net *"_s302", 0 0, L_0x1096930;  1 drivers
v0xc74f00_0 .net *"_s305", 0 0, L_0x1096ba0;  1 drivers
v0xc74fe0_0 .net *"_s306", 0 0, L_0x1096c40;  1 drivers
v0xc750c0_0 .net *"_s309", 0 0, L_0x1097060;  1 drivers
v0xc751a0_0 .net *"_s310", 0 0, L_0x1096590;  1 drivers
v0xc75280_0 .net *"_s313", 0 0, L_0x10972b0;  1 drivers
v0xc75360_0 .net *"_s314", 0 0, L_0x1096cb0;  1 drivers
v0xc75440_0 .net *"_s317", 0 0, L_0x1096dc0;  1 drivers
v0xc75520_0 .net *"_s321", 0 0, L_0x1096f70;  1 drivers
v0xc75600_0 .net *"_s323", 0 0, L_0x1096a40;  1 drivers
v0xc756e0_0 .net *"_s324", 0 0, L_0x1096ae0;  1 drivers
v0xc757c0_0 .net *"_s326", 0 0, L_0x1097350;  1 drivers
v0xc758a0_0 .net *"_s329", 0 0, L_0x10975e0;  1 drivers
v0xc75980_0 .net *"_s33", 7 0, L_0x108f2d0;  1 drivers
v0xc75a60_0 .net *"_s330", 0 0, L_0x1097680;  1 drivers
v0xc75b40_0 .net *"_s332", 0 0, L_0x1097770;  1 drivers
v0xc75c20_0 .net *"_s335", 0 0, L_0x1097460;  1 drivers
v0xc75d00_0 .net *"_s336", 0 0, L_0x1097500;  1 drivers
v0xc75de0_0 .net *"_s338", 0 0, L_0x1097d90;  1 drivers
v0xc75ec0_0 .net *"_s34", 8 0, L_0x108f3c0;  1 drivers
v0xc75fa0_0 .net *"_s341", 0 0, L_0x1097c00;  1 drivers
v0xc76080_0 .net *"_s342", 0 0, L_0x108f250;  1 drivers
v0xc76160_0 .net *"_s344", 0 0, L_0x1097ca0;  1 drivers
v0xc76240_0 .net *"_s347", 0 0, L_0x10979e0;  1 drivers
v0xc76320_0 .net *"_s348", 0 0, L_0x1097a80;  1 drivers
v0xc76400_0 .net *"_s350", 0 0, L_0x1097100;  1 drivers
v0xc764e0_0 .net *"_s353", 0 0, L_0x1097ea0;  1 drivers
v0xc765c0_0 .net *"_s354", 0 0, L_0x1097f40;  1 drivers
v0xc766a0_0 .net *"_s356", 0 0, L_0x1098000;  1 drivers
v0xc76780_0 .net *"_s359", 0 0, L_0x10982e0;  1 drivers
L_0x7fa2a99e80f0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc76860_0 .net/2u *"_s36", 0 0, L_0x7fa2a99e80f0;  1 drivers
v0xc76940_0 .net *"_s360", 0 0, L_0x1097830;  1 drivers
v0xc76a20_0 .net *"_s369", 0 0, L_0x1098110;  1 drivers
v0xc76b00_0 .net *"_s371", 0 0, L_0x10981b0;  1 drivers
v0xc76be0_0 .net *"_s379", 0 0, L_0x1098b70;  1 drivers
v0xc76cc0_0 .net *"_s381", 0 0, L_0x1098c10;  1 drivers
v0xc76da0_0 .net *"_s389", 0 0, L_0x1098ce0;  1 drivers
v0xc76e80_0 .net *"_s39", 7 0, L_0x108f590;  1 drivers
v0xc76f60_0 .net *"_s391", 0 0, L_0x1098d80;  1 drivers
v0xc77040_0 .net *"_s399", 0 0, L_0x10991a0;  1 drivers
v0xc73790_0 .net *"_s40", 8 0, L_0x108f680;  1 drivers
v0xc73870_0 .net *"_s401", 0 0, L_0x1099240;  1 drivers
v0xc73950_0 .net *"_s409", 0 0, L_0x1099850;  1 drivers
v0xc73a30_0 .net *"_s411", 0 0, L_0x1099920;  1 drivers
v0xc778f0_0 .net *"_s42", 8 0, L_0x108f860;  1 drivers
v0xc77990_0 .net *"_s425", 3 0, L_0x10929a0;  1 drivers
v0xc77a70_0 .net *"_s426", 5 0, L_0x1092a40;  1 drivers
L_0x7fa2a99e8528 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0xc77b50_0 .net *"_s429", 1 0, L_0x7fa2a99e8528;  1 drivers
L_0x7fa2a99e8570 .functor BUFT 1, C4<001001>, C4<0>, C4<0>, C4<0>;
v0xc77c30_0 .net/2u *"_s430", 5 0, L_0x7fa2a99e8570;  1 drivers
v0xc77d10_0 .net *"_s434", 0 0, L_0x1099cc0;  1 drivers
v0xc77df0_0 .net *"_s437", 3 0, L_0x109a210;  1 drivers
L_0x7fa2a99e85b8 .functor BUFT 1, C4<0110>, C4<0>, C4<0>, C4<0>;
v0xc77ed0_0 .net/2u *"_s438", 3 0, L_0x7fa2a99e85b8;  1 drivers
L_0x7fa2a99e8138 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
v0xc77fb0_0 .net/2u *"_s44", 7 0, L_0x7fa2a99e8138;  1 drivers
v0xc78090_0 .net *"_s440", 3 0, L_0x109a2b0;  1 drivers
v0xc78170_0 .net *"_s443", 3 0, L_0x109a4c0;  1 drivers
v0xc78250_0 .net *"_s447", 3 0, L_0x109ad80;  1 drivers
v0xc78330_0 .net *"_s448", 5 0, L_0x109a350;  1 drivers
L_0x7fa2a99e8600 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0xc78410_0 .net *"_s451", 1 0, L_0x7fa2a99e8600;  1 drivers
L_0x7fa2a99e8648 .functor BUFT 1, C4<001001>, C4<0>, C4<0>, C4<0>;
v0xc784f0_0 .net/2u *"_s452", 5 0, L_0x7fa2a99e8648;  1 drivers
v0xc785d0_0 .net *"_s454", 0 0, L_0x109a860;  1 drivers
v0xc78690_0 .net/2u *"_s456", 0 0, L_0x7fa2a99e8690;  1 drivers
v0xc78770_0 .net *"_s458", 0 0, L_0x109a9a0;  1 drivers
v0xc78830_0 .net *"_s46", 8 0, L_0x108f9a0;  1 drivers
v0xc78910_0 .net *"_s460", 0 0, L_0x109aa60;  1 drivers
v0xc789d0_0 .net *"_s463", 0 0, L_0x109a570;  1 drivers
v0xc78ab0_0 .net *"_s464", 0 0, L_0x109a610;  1 drivers
v0xc78b90_0 .net *"_s466", 0 0, L_0x109a6d0;  1 drivers
v0xc78c50_0 .net *"_s469", 3 0, L_0x109b4e0;  1 drivers
L_0x7fa2a99e86d8 .functor BUFT 1, C4<0110>, C4<0>, C4<0>, C4<0>;
v0xc78d30_0 .net/2u *"_s470", 3 0, L_0x7fa2a99e86d8;  1 drivers
v0xc78e10_0 .net *"_s472", 3 0, L_0x109ae20;  1 drivers
v0xc78ef0_0 .net *"_s475", 3 0, L_0x109afd0;  1 drivers
v0xc78fd0_0 .net *"_s479", 3 0, L_0x109b9f0;  1 drivers
v0xc790b0_0 .net *"_s48", 8 0, L_0x108f7c0;  1 drivers
L_0x7fa2a99e8180 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc79190_0 .net/2u *"_s53", 0 0, L_0x7fa2a99e8180;  1 drivers
v0xc79270_0 .net *"_s56", 7 0, L_0x108fde0;  1 drivers
v0xc79350_0 .net *"_s57", 8 0, L_0x108fcd0;  1 drivers
L_0x7fa2a99e81c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc79430_0 .net/2u *"_s59", 0 0, L_0x7fa2a99e81c8;  1 drivers
v0xc79510_0 .net *"_s62", 7 0, L_0x108fff0;  1 drivers
v0xc795f0_0 .net *"_s63", 8 0, L_0x108fe80;  1 drivers
v0xc796d0_0 .net *"_s65", 8 0, L_0x1090210;  1 drivers
L_0x7fa2a99e8210 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc797b0_0 .net/2u *"_s70", 0 0, L_0x7fa2a99e8210;  1 drivers
v0xc79890_0 .net *"_s73", 7 0, L_0x1090350;  1 drivers
v0xc79970_0 .net *"_s74", 8 0, L_0x10906c0;  1 drivers
L_0x7fa2a99e8258 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc79a50_0 .net/2u *"_s76", 0 0, L_0x7fa2a99e8258;  1 drivers
v0xc79b30_0 .net *"_s79", 7 0, L_0x1090870;  1 drivers
v0xc79c10_0 .net *"_s80", 8 0, L_0x10909a0;  1 drivers
v0xc79cf0_0 .net *"_s82", 8 0, L_0x1090760;  1 drivers
L_0x7fa2a99e82a0 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
v0xc79dd0_0 .net/2u *"_s84", 7 0, L_0x7fa2a99e82a0;  1 drivers
v0xc79eb0_0 .net *"_s86", 8 0, L_0x1090b60;  1 drivers
v0xc79f90_0 .net *"_s88", 8 0, L_0x1090a40;  1 drivers
v0xc7a070_0 .net *"_s91", 7 0, L_0x1090dd0;  1 drivers
L_0x7fa2a99e82e8 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
v0xc7a150_0 .net/2u *"_s94", 7 0, L_0x7fa2a99e82e8;  1 drivers
v0xc7a230_0 .net *"_s97", 7 0, L_0x1090ca0;  1 drivers
v0xc7a310_0 .net "a_in", 15 0, L_0x109b7b0;  1 drivers
v0xc7a3f0_0 .net "adc8_r", 7 0, L_0x1093660;  1 drivers
v0xc7a4d0_0 .net "adc8_w", 7 0, L_0x108f160;  1 drivers
v0xc7a5b0_0 .net "add8_r", 7 0, L_0x10921f0;  1 drivers
v0xc7a690_0 .net "add8_w", 7 0, L_0x108e8e0;  1 drivers
v0xc7a770_0 .net "and8_r", 7 0, L_0x1099490;  1 drivers
v0xc7a850_0 .net "and8_w", 7 0, L_0x1091050;  1 drivers
v0xc7a930_0 .net "asr8_r", 7 0, L_0x1098610;  1 drivers
v0xc7aa10_0 .net "asr8_w", 7 0, L_0x1090610;  1 drivers
v0xc7aaf0_0 .net "b_in", 15 0, L_0x109bf10;  1 drivers
v0xc7abd0_0 .var "c8", 0 0;
v0xc7ac90_0 .net "c_in", 0 0, L_0x108e370;  1 drivers
v0xc7ad50_0 .net "cadc8_r", 0 0, L_0x10935c0;  1 drivers
v0xc7ae10_0 .net "cadc8_w", 0 0, L_0x108f0c0;  1 drivers
v0xc7aed0_0 .net "cadd8_r", 0 0, L_0x1092410;  1 drivers
v0xc7af90_0 .net "cadd8_w", 0 0, L_0x108e7a0;  1 drivers
v0xc7b050_0 .net "cand8_r", 0 0, L_0x1099550;  1 drivers
v0xc7b110_0 .net "casr8_r", 0 0, L_0x10986d0;  1 drivers
v0xc7b1d0_0 .net "ccom8_r", 0 0, L_0x1095ef0;  1 drivers
v0xc7b290_0 .net "cdaa8_r", 0 0, L_0x109b580;  1 drivers
v0xc7b350_0 .net "clk_in", 0 0, v0x108d320_0;  alias, 1 drivers
v0xc7b440_0 .net "cneg8_r", 0 0, L_0x1096e60;  1 drivers
v0xc7b500_0 .net "com8_r", 7 0, L_0x1096300;  1 drivers
v0xc7b5e0_0 .net "com8_w", 7 0, L_0x108efb0;  1 drivers
v0xc7b6c0_0 .net "crol8_r", 0 0, L_0x10997b0;  1 drivers
v0xc7b780_0 .net "cror8_r", 0 0, L_0x1098fe0;  1 drivers
v0xc7b840_0 .net "csbc8_r", 0 0, L_0x1095100;  1 drivers
v0xc7b900_0 .net "csbc8_w", 0 0, L_0x1090090;  1 drivers
v0xc7b9c0_0 .net "cshl8_r", 0 0, L_0x10988e0;  1 drivers
v0xc7ba80_0 .net "cshr8_r", 0 0, L_0x1098ad0;  1 drivers
v0xc7bb40_0 .net "csub8_r", 0 0, L_0x1094180;  1 drivers
v0xc7bc00_0 .net "csub8_w", 0 0, L_0x108fc30;  1 drivers
v0xc7bcc0_0 .net "daa8h_r", 3 0, L_0x109b070;  1 drivers
v0xc7bda0_0 .net "daa8l_r", 3 0, L_0x109abf0;  1 drivers
v0xc7be80_0 .net "daa_lnm9", 0 0, L_0x1099b50;  1 drivers
v0xc7bf40_0 .net "eor8_r", 7 0, L_0x10928e0;  1 drivers
v0xc7c020_0 .net "eor8_w", 7 0, L_0x1091f90;  1 drivers
v0xc7c100_0 .var "h8", 0 0;
v0xc7c1c0_0 .net "h_in", 0 0, L_0x108e700;  1 drivers
v0xc7c280_0 .net "n_in", 0 0, L_0x108e410;  1 drivers
v0xc7c340_0 .net "neg8_r", 7 0, L_0x1090530;  1 drivers
v0xc7c420_0 .net "neg8_w", 7 0, L_0x1090fb0;  1 drivers
v0xc7c500_0 .net "opcode_in", 4 0, v0xc7fe60_0;  alias, 1 drivers
v0xc7c5c0_0 .net "or8_r", 7 0, L_0x10995f0;  1 drivers
v0xc7c680_0 .net "or8_w", 7 0, L_0x1091c30;  1 drivers
v0xc7c760_0 .var "q8", 7 0;
v0xc7c840_0 .var "q_out", 7 0;
v0xc7c920_0 .var "regq8", 7 0;
v0xc7ca00_0 .net "rol8_r", 7 0, L_0x10996b0;  1 drivers
v0xc7cae0_0 .net "rol8_w", 7 0, L_0x1091930;  1 drivers
v0xc7cbc0_0 .net "ror8_r", 7 0, L_0x1098980;  1 drivers
v0xc7cca0_0 .net "ror8_w", 7 0, L_0x1091710;  1 drivers
v0xc7cd80_0 .net "sbc8_r", 7 0, L_0x1095520;  1 drivers
v0xc7ce60_0 .net "sbc8_w", 7 0, L_0x1090440;  1 drivers
v0xc7cf40_0 .net "shl8_r", 7 0, L_0x1098820;  1 drivers
v0xc7d020_0 .net "shl8_w", 7 0, L_0x10913c0;  1 drivers
v0xc7d100_0 .net "shr8_r", 7 0, L_0x1098a10;  1 drivers
v0xc7d1e0_0 .net "shr8_w", 7 0, L_0x1091530;  1 drivers
v0xc7d2c0_0 .net "sub8_r", 7 0, L_0x1093f20;  1 drivers
v0xc7d3a0_0 .net "sub8_w", 7 0, L_0x108fae0;  1 drivers
v0xc7d480_0 .var "v8", 0 0;
v0xc7d540_0 .net "v_in", 0 0, L_0x108e4b0;  1 drivers
v0xc7d600_0 .net "vadc8_r", 0 0, L_0x1094360;  1 drivers
v0xc7d6c0_0 .net "vadd8_r", 0 0, L_0x1092f50;  1 drivers
L_0x7fa2a99e84e0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc7d780_0 .net "vand8_r", 0 0, L_0x7fa2a99e84e0;  1 drivers
v0xc7d840_0 .net "vasr8_r", 0 0, L_0x1098250;  1 drivers
L_0x7fa2a99e8498 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc7d900_0 .net "vcom8_r", 0 0, L_0x7fa2a99e8498;  1 drivers
v0xc7d9c0_0 .net "vneg8_r", 0 0, L_0x10978f0;  1 drivers
v0xc7da80_0 .net "vrol8_r", 0 0, L_0x10999f0;  1 drivers
v0xc7db40_0 .net "vror8_r", 0 0, L_0x10993a0;  1 drivers
v0xc7dc00_0 .net "vsbc8_r", 0 0, L_0x1095de0;  1 drivers
v0xc7dcc0_0 .net "vshl8_r", 0 0, L_0x1098e50;  1 drivers
v0xc7dd80_0 .net "vshr8_r", 0 0, L_0x1099090;  1 drivers
v0xc7de40_0 .net "vsub8_r", 0 0, L_0x1094ef0;  1 drivers
v0xc7df00_0 .net "z_in", 0 0, L_0x108e660;  1 drivers
E_0xc6f9d0/0 .event edge, v0xc7c760_0, v0xc6d8e0_0, v0xc61400_0, v0xc7aaf0_0;
E_0xc6f9d0/1 .event edge, v0xc7c100_0, v0xc7d480_0, v0xc7abd0_0;
E_0xc6f9d0 .event/or E_0xc6f9d0/0, E_0xc6f9d0/1;
E_0xc6fa50/0 .event edge, v0xc7ac90_0, v0xc7c1c0_0, v0xc7d540_0, v0xc6d8e0_0;
E_0xc6fa50/1 .event edge, v0xc7a5b0_0, v0xc7aed0_0, v0xc7d6c0_0, v0xc7a3f0_0;
E_0xc6fa50/2 .event edge, v0xc7ad50_0, v0xc7d600_0, v0xc7d2c0_0, v0xc7bb40_0;
E_0xc6fa50/3 .event edge, v0xc7de40_0, v0xc7cd80_0, v0xc7b840_0, v0xc7dc00_0;
E_0xc6fa50/4 .event edge, v0xc7b500_0, v0xc7d900_0, v0xc7c340_0, v0xc7b440_0;
E_0xc6fa50/5 .event edge, v0xc7d9c0_0, v0xc7a930_0, v0xc7b110_0, v0xc7d840_0;
E_0xc6fa50/6 .event edge, v0xc7d100_0, v0xc7ba80_0, v0xc7dd80_0, v0xc7cf40_0;
E_0xc6fa50/7 .event edge, v0xc7b9c0_0, v0xc7dcc0_0, v0xc7cbc0_0, v0xc7b780_0;
E_0xc6fa50/8 .event edge, v0xc7db40_0, v0xc7ca00_0, v0xc7b6c0_0, v0xc7da80_0;
E_0xc6fa50/9 .event edge, v0xc7a770_0, v0xc7b050_0, v0xc7d780_0, v0xc7c5c0_0;
E_0xc6fa50/10 .event edge, v0xc7bf40_0, v0xc7bcc0_0, v0xc7bda0_0, v0xc7b290_0;
E_0xc6fa50/11 .event edge, v0xc7aaf0_0, v0xc7a310_0;
E_0xc6fa50 .event/or E_0xc6fa50/0, E_0xc6fa50/1, E_0xc6fa50/2, E_0xc6fa50/3, E_0xc6fa50/4, E_0xc6fa50/5, E_0xc6fa50/6, E_0xc6fa50/7, E_0xc6fa50/8, E_0xc6fa50/9, E_0xc6fa50/10, E_0xc6fa50/11;
L_0x108e370 .part L_0x10b90c0, 0, 1;
L_0x108e410 .part L_0x10b90c0, 3, 1;
L_0x108e4b0 .part L_0x10b90c0, 1, 1;
L_0x108e660 .part L_0x10b90c0, 2, 1;
L_0x108e700 .part L_0x10b90c0, 5, 1;
L_0x108e7a0 .part L_0x108eee0, 8, 1;
L_0x108e8e0 .part L_0x108eee0, 0, 8;
L_0x108e9d0 .part L_0x109b7b0, 0, 8;
L_0x108eb20 .concat [ 8 1 0 0], L_0x108e9d0, L_0x7fa2a99e8018;
L_0x108ec90 .part L_0x109bf10, 0, 8;
L_0x108edc0 .concat [ 8 1 0 0], L_0x108ec90, L_0x7fa2a99e8060;
L_0x108eee0 .arith/sum 9, L_0x108eb20, L_0x108edc0;
L_0x108f0c0 .part L_0x108f7c0, 8, 1;
L_0x108f160 .part L_0x108f7c0, 0, 8;
L_0x108f2d0 .part L_0x109b7b0, 0, 8;
L_0x108f3c0 .concat [ 8 1 0 0], L_0x108f2d0, L_0x7fa2a99e80a8;
L_0x108f590 .part L_0x109bf10, 0, 8;
L_0x108f680 .concat [ 8 1 0 0], L_0x108f590, L_0x7fa2a99e80f0;
L_0x108f860 .arith/sum 9, L_0x108f3c0, L_0x108f680;
L_0x108f9a0 .concat [ 1 8 0 0], L_0x108e370, L_0x7fa2a99e8138;
L_0x108f7c0 .arith/sum 9, L_0x108f860, L_0x108f9a0;
L_0x108fc30 .part L_0x1090210, 8, 1;
L_0x108fae0 .part L_0x1090210, 0, 8;
L_0x108fde0 .part L_0x109b7b0, 0, 8;
L_0x108fcd0 .concat [ 8 1 0 0], L_0x108fde0, L_0x7fa2a99e8180;
L_0x108fff0 .part L_0x109bf10, 0, 8;
L_0x108fe80 .concat [ 8 1 0 0], L_0x108fff0, L_0x7fa2a99e81c8;
L_0x1090210 .arith/sub 9, L_0x108fcd0, L_0x108fe80;
L_0x1090090 .part L_0x1090a40, 8, 1;
L_0x1090440 .part L_0x1090a40, 0, 8;
L_0x1090350 .part L_0x109b7b0, 0, 8;
L_0x10906c0 .concat [ 8 1 0 0], L_0x1090350, L_0x7fa2a99e8210;
L_0x1090870 .part L_0x109bf10, 0, 8;
L_0x10909a0 .concat [ 8 1 0 0], L_0x1090870, L_0x7fa2a99e8258;
L_0x1090760 .arith/sub 9, L_0x10906c0, L_0x10909a0;
L_0x1090b60 .concat [ 1 8 0 0], L_0x108e370, L_0x7fa2a99e82a0;
L_0x1090a40 .arith/sub 9, L_0x1090760, L_0x1090b60;
L_0x1090dd0 .part L_0x109b7b0, 0, 8;
L_0x1090ca0 .part L_0x109b7b0, 0, 8;
L_0x1090fb0 .arith/sub 8, L_0x7fa2a99e82e8, L_0x1090ca0;
L_0x1090e70 .part L_0x109b7b0, 7, 1;
L_0x1090f10 .part L_0x109b7b0, 1, 7;
L_0x1090610 .concat [ 7 1 0 0], L_0x1090f10, L_0x1090e70;
L_0x1091200 .part L_0x109b7b0, 1, 7;
L_0x1091530 .concat [ 7 1 0 0], L_0x1091200, L_0x7fa2a99e8330;
L_0x1091670 .part L_0x109b7b0, 0, 7;
L_0x10913c0 .concat [ 1 7 0 0], L_0x7fa2a99e8378, L_0x1091670;
L_0x1091890 .part L_0x109b7b0, 1, 7;
L_0x1091710 .concat [ 7 1 0 0], L_0x1091890, L_0x108e370;
L_0x1091ac0 .part L_0x109b7b0, 0, 7;
L_0x1091930 .concat [ 1 7 0 0], L_0x108e370, L_0x1091ac0;
L_0x1091a00 .part L_0x109b7b0, 0, 8;
L_0x1091d10 .part L_0x109bf10, 0, 8;
L_0x1091e50 .part L_0x109b7b0, 0, 8;
L_0x1091b60 .part L_0x109bf10, 0, 8;
L_0x1092150 .part L_0x109b7b0, 0, 8;
L_0x1091ef0 .part L_0x109bf10, 0, 8;
L_0x1092410 .part L_0x10922e0, 8, 1;
L_0x10921f0 .part L_0x10922e0, 0, 8;
L_0x10922e0 .concat [ 8 1 0 0], L_0x108e8e0, L_0x108e7a0;
L_0x10924b0 .part L_0x109b7b0, 7, 1;
L_0x10912b0 .part L_0x109bf10, 7, 1;
L_0x1092780 .part L_0x108e8e0, 7, 1;
L_0x1092e40 .part L_0x109b7b0, 7, 1;
L_0x1092c40 .part L_0x109bf10, 7, 1;
L_0x1093270 .part L_0x108e8e0, 7, 1;
L_0x10935c0 .part L_0x10933a0, 8, 1;
L_0x1093660 .part L_0x10933a0, 0, 8;
L_0x10933a0 .concat [ 8 1 0 0], L_0x108e8e0, L_0x108e7a0;
L_0x1093440 .part L_0x109b7b0, 7, 1;
L_0x10934e0 .part L_0x109bf10, 7, 1;
L_0x1093aa0 .part L_0x108e8e0, 7, 1;
L_0x1093d90 .part L_0x109b7b0, 7, 1;
L_0x1093e80 .part L_0x109bf10, 7, 1;
L_0x1094220 .part L_0x108f160, 7, 1;
L_0x1094180 .part L_0x1094010, 8, 1;
L_0x1093f20 .part L_0x1094010, 0, 8;
L_0x1094010 .concat [ 8 1 0 0], L_0x108fae0, L_0x108fc30;
L_0x10947a0 .part L_0x109b7b0, 7, 1;
L_0x1094840 .part L_0x109bf10, 7, 1;
L_0x10946f0 .part L_0x108fae0, 7, 1;
L_0x1094b70 .part L_0x109b7b0, 7, 1;
L_0x10949a0 .part L_0x109bf10, 7, 1;
L_0x1094d90 .part L_0x108fae0, 7, 1;
L_0x1095100 .part L_0x1095270, 8, 1;
L_0x1095520 .part L_0x1095270, 0, 8;
L_0x1095270 .concat [ 8 1 0 0], L_0x1090440, L_0x1090090;
L_0x10953b0 .part L_0x109b7b0, 7, 1;
L_0x1095450 .part L_0x109bf10, 7, 1;
L_0x10959f0 .part L_0x1090440, 7, 1;
L_0x10957e0 .part L_0x109b7b0, 7, 1;
L_0x1095000 .part L_0x109bf10, 7, 1;
L_0x1095ba0 .part L_0x1090440, 7, 1;
L_0x10961e0 .cmp/ne 8, L_0x108efb0, L_0x7fa2a99e83c0;
L_0x1095ef0 .functor MUXZ 1, L_0x7fa2a99e8450, L_0x7fa2a99e8408, L_0x10961e0, C4<>;
L_0x10967f0 .part L_0x1090fb0, 7, 1;
L_0x10964f0 .part L_0x1090fb0, 6, 1;
L_0x10966e0 .part L_0x1090fb0, 5, 1;
L_0x1096890 .part L_0x1090fb0, 4, 1;
L_0x1096ba0 .part L_0x1090fb0, 3, 1;
L_0x1097060 .part L_0x1090fb0, 2, 1;
L_0x10972b0 .part L_0x1090fb0, 1, 1;
L_0x1096dc0 .part L_0x1090fb0, 0, 1;
L_0x1096f70 .part L_0x1090fb0, 7, 1;
L_0x1096a40 .part L_0x1090fb0, 6, 1;
L_0x10975e0 .part L_0x1090fb0, 5, 1;
L_0x1097460 .part L_0x1090fb0, 4, 1;
L_0x1097c00 .part L_0x1090fb0, 3, 1;
L_0x10979e0 .part L_0x1090fb0, 2, 1;
L_0x1097ea0 .part L_0x1090fb0, 1, 1;
L_0x10982e0 .part L_0x1090fb0, 0, 1;
L_0x10986d0 .part L_0x109b7b0, 0, 1;
L_0x1098110 .part L_0x109b7b0, 0, 1;
L_0x10981b0 .part L_0x1090610, 7, 1;
L_0x1098ad0 .part L_0x109b7b0, 0, 1;
L_0x1098b70 .part L_0x109b7b0, 0, 1;
L_0x1098c10 .part L_0x1091530, 7, 1;
L_0x10988e0 .part L_0x109b7b0, 7, 1;
L_0x1098ce0 .part L_0x109b7b0, 7, 1;
L_0x1098d80 .part L_0x10913c0, 7, 1;
L_0x1098fe0 .part L_0x109b7b0, 0, 1;
L_0x10991a0 .part L_0x109b7b0, 0, 1;
L_0x1099240 .part L_0x1091530, 7, 1;
L_0x10997b0 .part L_0x109b7b0, 7, 1;
L_0x1099850 .part L_0x109b7b0, 7, 1;
L_0x1099920 .part L_0x1091930, 7, 1;
L_0x10929a0 .part L_0x109b7b0, 0, 4;
L_0x1092a40 .concat [ 4 2 0 0], L_0x10929a0, L_0x7fa2a99e8528;
L_0x1099b50 .cmp/gt 6, L_0x1092a40, L_0x7fa2a99e8570;
L_0x109a210 .part L_0x109b7b0, 0, 4;
L_0x109a2b0 .arith/sum 4, L_0x109a210, L_0x7fa2a99e85b8;
L_0x109a4c0 .part L_0x109b7b0, 0, 4;
L_0x109abf0 .functor MUXZ 4, L_0x109a4c0, L_0x109a2b0, L_0x1099cc0, C4<>;
L_0x109ad80 .part L_0x109b7b0, 4, 4;
L_0x109a350 .concat [ 4 2 0 0], L_0x109ad80, L_0x7fa2a99e8600;
L_0x109a860 .cmp/gt 6, L_0x109a350, L_0x7fa2a99e8648;
L_0x109a570 .part L_0x109b7b0, 7, 1;
L_0x109b4e0 .part L_0x109b7b0, 4, 4;
L_0x109ae20 .arith/sum 4, L_0x109b4e0, L_0x7fa2a99e86d8;
L_0x109afd0 .part L_0x109b7b0, 4, 4;
L_0x109b070 .functor MUXZ 4, L_0x109afd0, L_0x109ae20, L_0x109a6d0, C4<>;
L_0x109b9f0 .part L_0x109b7b0, 4, 4;
L_0x109b580 .cmp/gt 4, L_0x109b9f0, L_0x109b070;
S_0xc7fa60 .scope module, "dec_alu" "decode_alu" 3 167, 5 285 0, S_0xbf6740;
 .timescale -9 -9;
    .port_info 0 /INPUT 8 "opcode"
    .port_info 1 /INPUT 8 "postbyte0"
    .port_info 2 /INPUT 1 "page2_valid"
    .port_info 3 /INPUT 1 "page3_valid"
    .port_info 4 /OUTPUT 5 "alu_opcode"
    .port_info 5 /OUTPUT 2 "dec_alu_right_path_mod"
    .port_info 6 /OUTPUT 1 "dest_flags"
L_0x7fa2a99e8f48 .functor BUFT 1, C4<00000>, C4<0>, C4<0>, C4<0>;
v0xc7fd60_0 .net/2u *"_s0", 4 0, L_0x7fa2a99e8f48;  1 drivers
v0xc7fe60_0 .var "alu_opcode", 4 0;
v0xc7ff20_0 .var "dec_alu_right_path_mod", 1 0;
v0xc80010_0 .net "dest_flags", 0 0, L_0x10baf50;  alias, 1 drivers
v0xc800d0_0 .net "opcode", 7 0, v0xc8ac40_0;  1 drivers
v0xc801b0_0 .net "page2_valid", 0 0, v0xc8ad70_0;  1 drivers
v0xc80270_0 .net "page3_valid", 0 0, v0xc8aea0_0;  1 drivers
v0xc80330_0 .net "postbyte0", 7 0, v0xc8af40_0;  1 drivers
E_0xc7fcf0 .event edge, v0xc800d0_0, v0xc801b0_0, v0xc80330_0, v0xc80270_0;
L_0x10baf50 .cmp/ne 5, v0xc7fe60_0, L_0x7fa2a99e8f48;
S_0xc80530 .scope module, "dec_ea" "decode_ea" 3 155, 5 259 0, S_0xbf6740;
 .timescale -9 -9;
    .port_info 0 /INPUT 8 "eapostbyte"
    .port_info 1 /OUTPUT 1 "noofs"
    .port_info 2 /OUTPUT 1 "ofs8"
    .port_info 3 /OUTPUT 1 "ofs16"
    .port_info 4 /OUTPUT 1 "write_post"
    .port_info 5 /OUTPUT 1 "isind"
L_0x10bacb0 .functor AND 1, L_0x10baae0, L_0x10bab80, C4<1>, C4<1>;
v0xc807f0_0 .net *"_s1", 0 0, L_0x10baae0;  1 drivers
v0xc808f0_0 .net *"_s3", 0 0, L_0x10bab80;  1 drivers
v0xc809d0_0 .net *"_s4", 0 0, L_0x10bacb0;  1 drivers
L_0x7fa2a99e8eb8 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0xc80ac0_0 .net/2u *"_s6", 0 0, L_0x7fa2a99e8eb8;  1 drivers
L_0x7fa2a99e8f00 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0xc80ba0_0 .net/2u *"_s8", 0 0, L_0x7fa2a99e8f00;  1 drivers
v0xc80cd0_0 .net "eapostbyte", 7 0, v0xc8a200_0;  1 drivers
v0xc80db0_0 .net "isind", 0 0, L_0x10bad70;  alias, 1 drivers
v0xc80e70_0 .var "noofs", 0 0;
v0xc80f30_0 .var "ofs16", 0 0;
v0xc81080_0 .var "ofs8", 0 0;
v0xc81140_0 .var "write_post", 0 0;
E_0xc80790 .event edge, v0xc80cd0_0;
L_0x10baae0 .part v0xc8a200_0, 7, 1;
L_0x10bab80 .part v0xc8a200_0, 4, 1;
L_0x10bad70 .functor MUXZ 1, L_0x7fa2a99e8f00, L_0x7fa2a99e8eb8, L_0x10bacb0, C4<>;
S_0xc81300 .scope module, "dec_op" "decode_op" 3 145, 5 131 0, S_0xbf6740;
 .timescale -9 -9;
    .port_info 0 /INPUT 8 "opcode"
    .port_info 1 /INPUT 8 "postbyte0"
    .port_info 2 /INPUT 1 "page2_valid"
    .port_info 3 /INPUT 1 "page3_valid"
    .port_info 4 /OUTPUT 3 "mode"
    .port_info 5 /OUTPUT 3 "optype"
    .port_info 6 /OUTPUT 1 "use_s"
v0xc815d0_0 .var "mode", 2 0;
v0xc816d0_0 .net "opcode", 7 0, v0xc8ac40_0;  alias, 1 drivers
v0xc817c0_0 .net "oplo", 3 0, L_0x10baa40;  1 drivers
v0xc81890_0 .var "optype", 2 0;
v0xc81970_0 .net "page2_valid", 0 0, v0xc8ad70_0;  alias, 1 drivers
v0xc81a10_0 .net "page3_valid", 0 0, v0xc8aea0_0;  alias, 1 drivers
v0xc81ae0_0 .net "postbyte0", 7 0, v0xc8af40_0;  alias, 1 drivers
v0xc81bb0_0 .var "size", 0 0;
v0xc81c50_0 .var "use_s", 0 0;
E_0xc81570/0 .event edge, v0xc817c0_0, v0xc80270_0, v0xc801b0_0, v0xc80330_0;
E_0xc81570/1 .event edge, v0xc800d0_0;
E_0xc81570 .event/or E_0xc81570/0, E_0xc81570/1;
L_0x10baa40 .part v0xc8ac40_0, 0, 4;
S_0xc81ea0 .scope module, "dec_regs" "decode_regs" 3 132, 5 9 0, S_0xbf6740;
 .timescale -9 -9;
    .port_info 0 /INPUT 8 "opcode"
    .port_info 1 /INPUT 8 "postbyte0"
    .port_info 2 /INPUT 1 "page2_valid"
    .port_info 3 /INPUT 1 "page3_valid"
    .port_info 4 /OUTPUT 4 "path_left_addr"
    .port_info 5 /OUTPUT 4 "path_right_addr"
    .port_info 6 /OUTPUT 4 "dest_reg"
    .port_info 7 /OUTPUT 1 "write_dest"
    .port_info 8 /OUTPUT 1 "source_size"
    .port_info 9 /OUTPUT 1 "result_size"
L_0x7fa2a99e8d50 .functor BUFT 1, C4<1111>, C4<0>, C4<0>, C4<0>;
v0xc821e0_0 .net/2u *"_s0", 3 0, L_0x7fa2a99e8d50;  1 drivers
v0xc822e0_0 .net *"_s10", 0 0, L_0x10ba6d0;  1 drivers
L_0x7fa2a99e8e28 .functor BUFT 1, C4<01>, C4<0>, C4<0>, C4<0>;
v0xc823a0_0 .net/2s *"_s12", 1 0, L_0x7fa2a99e8e28;  1 drivers
L_0x7fa2a99e8e70 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0xc82490_0 .net/2s *"_s14", 1 0, L_0x7fa2a99e8e70;  1 drivers
v0xc82570_0 .net *"_s16", 1 0, L_0x10ba7c0;  1 drivers
L_0x7fa2a99e8d98 .functor BUFT 1, C4<1000>, C4<0>, C4<0>, C4<0>;
v0xc826a0_0 .net/2u *"_s4", 3 0, L_0x7fa2a99e8d98;  1 drivers
L_0x7fa2a99e8de0 .functor BUFT 1, C4<0111>, C4<0>, C4<0>, C4<0>;
v0xc82780_0 .net/2u *"_s8", 3 0, L_0x7fa2a99e8de0;  1 drivers
v0xc82860_0 .var "dest_reg", 3 0;
v0xc82940_0 .net "opcode", 7 0, v0xc8ac40_0;  alias, 1 drivers
v0xc82a90_0 .net "page2_valid", 0 0, v0xc8ad70_0;  alias, 1 drivers
v0xc82b80_0 .net "page3_valid", 0 0, v0xc8aea0_0;  alias, 1 drivers
v0xc82c70_0 .var "path_left_addr", 3 0;
v0xc82d50_0 .var "path_right_addr", 3 0;
v0xc82e30_0 .net "postbyte0", 7 0, v0xc8af40_0;  alias, 1 drivers
v0xc82f40_0 .net "result_size", 0 0, L_0x10ba950;  alias, 1 drivers
v0xc82fe0_0 .net "source_size", 0 0, L_0x10ba540;  alias, 1 drivers
v0xc83080_0 .net "write_dest", 0 0, L_0x10ba3b0;  alias, 1 drivers
E_0xc82180 .event edge, v0xc80270_0, v0xc801b0_0, v0xc80330_0, v0xc800d0_0;
L_0x10ba3b0 .cmp/ne 4, v0xc82860_0, L_0x7fa2a99e8d50;
L_0x10ba540 .cmp/gt 4, L_0x7fa2a99e8d98, v0xc82c70_0;
L_0x10ba6d0 .cmp/gt 4, L_0x7fa2a99e8de0, v0xc82860_0;
L_0x10ba7c0 .functor MUXZ 2, L_0x7fa2a99e8e70, L_0x7fa2a99e8e28, L_0x10ba6d0, C4<>;
L_0x10ba950 .part L_0x10ba7c0, 0, 1;
S_0xc83370 .scope module, "regs" "regblock" 3 100, 6 7 0, S_0xbf6740;
 .timescale -9 -9;
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 1 /INPUT 4 "path_left_addr"
    .port_info 2 /INPUT 4 "path_right_addr"
    .port_info 3 /INPUT 4 "write_reg_addr"
    .port_info 4 /INPUT 4 "exg_dest_r"
    .port_info 5 /INPUT 8 "eapostbyte"
    .port_info 6 /INPUT 16 "offset16"
    .port_info 7 /INPUT 1 "write_reg"
    .port_info 8 /INPUT 1 "write_post"
    .port_info 9 /INPUT 1 "write_pc"
    .port_info 10 /INPUT 1 "write_tfr"
    .port_info 11 /INPUT 1 "write_exg"
    .port_info 12 /INPUT 1 "inc_pc"
    .port_info 13 /INPUT 1 "inc_su"
    .port_info 14 /INPUT 1 "dec_su"
    .port_info 15 /INPUT 1 "use_s"
    .port_info 16 /INPUT 16 "data_w"
    .port_info 17 /INPUT 16 "new_pc"
    .port_info 18 /INPUT 8 "CCR_in"
    .port_info 19 /INPUT 1 "write_flags"
    .port_info 20 /INPUT 1 "set_e"
    .port_info 21 /INPUT 1 "clear_e"
    .port_info 22 /OUTPUT 8 "CCR_o"
    .port_info 23 /OUTPUT 16 "path_left_data"
    .port_info 24 /OUTPUT 16 "path_right_data"
    .port_info 25 /OUTPUT 16 "eamem_addr"
    .port_info 26 /OUTPUT 16 "reg_pc"
    .port_info 27 /OUTPUT 8 "reg_dp"
    .port_info 28 /OUTPUT 16 "reg_su"
L_0x10b9330 .functor BUFZ 16, v0xc841b0_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x10b84f0 .functor BUFZ 8, v0xc83ec0_0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x10b9c30 .functor OR 1, v0xc8b980_0, v0xc8b710_0, C4<0>, C4<0>;
v0xc83bc0_0 .var "ACCA", 7 0;
v0xc83ca0_0 .var "ACCB", 7 0;
v0xc83d80_0 .net "CCR_in", 7 0, v0xc77350_0;  alias, 1 drivers
v0xc83e20_0 .net "CCR_o", 7 0, L_0x10b90c0;  alias, 1 drivers
v0xc83ec0_0 .var "DP", 7 0;
v0xc83ff0_0 .var "IX", 15 0;
v0xc840d0_0 .var "IY", 15 0;
v0xc841b0_0 .var "PC", 15 0;
v0xc84290_0 .var "SS", 15 0;
v0xc84400_0 .var "SU", 15 0;
v0xc844e0_0 .net *"_s8", 0 0, L_0x10b9c30;  1 drivers
v0xc845c0_0 .var "cff", 0 0;
v0xc84680_0 .net "clear_e", 0 0, v0xc89980_0;  1 drivers
v0xc84740_0 .net "clk_in", 0 0, v0x108d320_0;  alias, 1 drivers
v0xc84870_0 .net "data_w", 15 0, v0xc888a0_0;  1 drivers
v0xc84950_0 .net "dec_su", 0 0, v0xc89cd0_0;  1 drivers
v0xc84a10_0 .var "ea_reg", 15 0;
v0xc84bc0_0 .var "ea_reg_post", 15 0;
v0xc84c60_0 .var "eamem_addr", 15 0;
v0xc84d40_0 .net "eapostbyte", 7 0, v0xc8a200_0;  alias, 1 drivers
v0xc84e00_0 .var "eflag", 0 0;
v0xc84ea0_0 .net "exg_dest_r", 3 0, L_0x10b9ed0;  1 drivers
v0xc84f80_0 .var "fflag", 0 0;
v0xc85040_0 .var "hflag", 0 0;
v0xc85100_0 .net "inc_pc", 0 0, v0xc8a060_0;  1 drivers
v0xc851c0_0 .net "inc_su", 0 0, v0xc8a130_0;  1 drivers
v0xc85280_0 .var "intff", 0 0;
v0xc85340_0 .net "left", 15 0, L_0x10b9d40;  1 drivers
v0xc85420_0 .net "new_pc", 15 0, v0xc8a6f0_0;  1 drivers
v0xc85500_0 .var "nff", 0 0;
v0xc855c0_0 .net "offset16", 15 0, L_0x10b9f70;  1 drivers
v0xc856a0_0 .net "path_left_addr", 3 0, v0xc88640_0;  1 drivers
v0xc85780_0 .var "path_left_data", 15 0;
v0xc84af0_0 .net "path_right_addr", 3 0, v0xc82d50_0;  alias, 1 drivers
v0xc85a60_0 .var "path_right_data", 15 0;
v0xc85b20_0 .net "reg_dp", 7 0, L_0x10b84f0;  alias, 1 drivers
v0xc85c00_0 .net "reg_pc", 15 0, L_0x10b9330;  alias, 1 drivers
v0xc85ce0_0 .net "reg_su", 15 0, L_0x10b9b40;  alias, 1 drivers
v0xc85dc0_0 .net "set_e", 0 0, v0xc8b570_0;  1 drivers
v0xc85e80_0 .net "use_s", 0 0, v0xc81c50_0;  alias, 1 drivers
v0xc85f50_0 .var "vff", 0 0;
v0xc85ff0_0 .net "write_exg", 0 0, v0xc8b710_0;  1 drivers
v0xc860b0_0 .net "write_flags", 0 0, L_0x10ba2f0;  1 drivers
v0xc86170_0 .net "write_pc", 0 0, v0xc8b7e0_0;  1 drivers
v0xc86230_0 .net "write_post", 0 0, v0xc8b8b0_0;  1 drivers
v0xc862f0_0 .net "write_reg", 0 0, v0xc8b640_0;  1 drivers
v0xc863b0_0 .net "write_reg_addr", 3 0, v0xc88970_0;  1 drivers
v0xc86490_0 .net "write_tfr", 0 0, v0xc8b980_0;  1 drivers
v0xc86550_0 .var "zff", 0 0;
E_0xc838e0/0 .event edge, v0xc80cd0_0, v0xc84a10_0, v0xc84bc0_0, v0xc83ca0_0;
E_0xc838e0/1 .event edge, v0xc83bc0_0, v0xc855c0_0, v0xc841b0_0;
E_0xc838e0 .event/or E_0xc838e0/0, E_0xc838e0/1;
E_0xc83980 .event edge, v0xc84a10_0, v0xc80cd0_0;
E_0xc839e0/0 .event edge, v0xc80cd0_0, v0xc83ff0_0, v0xc840d0_0, v0xc84400_0;
E_0xc839e0/1 .event edge, v0xc84290_0;
E_0xc839e0 .event/or E_0xc839e0/0, E_0xc839e0/1;
E_0xc83a20/0 .event edge, v0xc82d50_0, v0xc83bc0_0, v0xc83ca0_0, v0xc83ff0_0;
E_0xc83a20/1 .event edge, v0xc840d0_0, v0xc84400_0, v0xc84290_0, v0xc83ec0_0;
E_0xc83a20/2 .event edge, v0xc84e00_0, v0xc84f80_0, v0xc85040_0, v0xc85280_0;
E_0xc83a20/3 .event edge, v0xc85500_0, v0xc86550_0, v0xc85f50_0, v0xc845c0_0;
E_0xc83a20 .event/or E_0xc83a20/0, E_0xc83a20/1, E_0xc83a20/2, E_0xc83a20/3;
E_0xc83af0/0 .event edge, v0xc856a0_0, v0xc83bc0_0, v0xc83ca0_0, v0xc83ff0_0;
E_0xc83af0/1 .event edge, v0xc840d0_0, v0xc84400_0, v0xc84290_0, v0xc841b0_0;
E_0xc83af0/2 .event edge, v0xc83ec0_0, v0xc84e00_0, v0xc84f80_0, v0xc85040_0;
E_0xc83af0/3 .event edge, v0xc85280_0, v0xc85500_0, v0xc86550_0, v0xc85f50_0;
E_0xc83af0/4 .event edge, v0xc845c0_0;
E_0xc83af0 .event/or E_0xc83af0/0, E_0xc83af0/1, E_0xc83af0/2, E_0xc83af0/3, E_0xc83af0/4;
LS_0x10b90c0_0_0 .concat [ 1 1 1 1], v0xc845c0_0, v0xc85f50_0, v0xc86550_0, v0xc85500_0;
LS_0x10b90c0_0_4 .concat [ 1 1 1 1], v0xc85280_0, v0xc85040_0, v0xc84f80_0, v0xc84e00_0;
L_0x10b90c0 .concat [ 4 4 0 0], LS_0x10b90c0_0_0, LS_0x10b90c0_0_4;
L_0x10b9b40 .functor MUXZ 16, v0xc84400_0, v0xc84290_0, v0xc81c50_0, C4<>;
L_0x10b9d40 .functor MUXZ 16, v0xc888a0_0, v0xc85780_0, L_0x10b9c30, C4<>;
S_0xc86aa0 .scope module, "test_cond" "test_condition" 3 177, 5 358 0, S_0xbf6740;
 .timescale -9 -9;
    .port_info 0 /INPUT 8 "opcode"
    .port_info 1 /INPUT 8 "postbyte0"
    .port_info 2 /INPUT 1 "page2_valid"
    .port_info 3 /INPUT 8 "CCR"
    .port_info 4 /OUTPUT 1 "cond_taken"
v0xc86ca0_0 .net "CCR", 7 0, L_0x10b90c0;  alias, 1 drivers
v0xc86e10_0 .var "cond_taken", 0 0;
v0xc86ed0_0 .net "op", 7 0, L_0x10bb040;  1 drivers
v0xc86fc0_0 .net "opcode", 7 0, v0xc8ac40_0;  alias, 1 drivers
v0xc87080_0 .net "page2_valid", 0 0, v0xc8ad70_0;  alias, 1 drivers
v0xc87120_0 .net "postbyte0", 7 0, v0xc8af40_0;  alias, 1 drivers
E_0xc86c20 .event edge, v0xc86ed0_0, v0xc61400_0;
L_0x10bb040 .functor MUXZ 8, v0xc8ac40_0, v0xc8af40_0, v0xc8ad70_0, C4<>;
S_0xc8c470 .scope module, "imem" "memory" 2 30, 2 46 0, S_0xbe5020;
 .timescale -9 -9;
    .port_info 0 /INPUT 16 "addr"
    .port_info 1 /INPUT 1 "oe"
    .port_info 2 /INPUT 1 "we"
    .port_info 3 /OUTPUT 8 "data_o"
    .port_info 4 /INPUT 8 "data_i"
v0xc8cb40_0 .array/port v0xc8cb40, 0;
L_0x10bb5f0 .functor BUFZ 8, v0xc8cb40_0, C4<00000000>, C4<00000000>, C4<00000000>;
v0xc8cb40_1 .array/port v0xc8cb40, 1;
L_0x10bb660 .functor BUFZ 8, v0xc8cb40_1, C4<00000000>, C4<00000000>, C4<00000000>;
v0xc8cb40_2 .array/port v0xc8cb40, 2;
L_0x10bb6d0 .functor BUFZ 8, v0xc8cb40_2, C4<00000000>, C4<00000000>, C4<00000000>;
v0xc8cb40_3 .array/port v0xc8cb40, 3;
L_0x10bb740 .functor BUFZ 8, v0xc8cb40_3, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x10bb7b0 .functor BUFZ 8, v0xc8caa0_0, C4<00000000>, C4<00000000>, C4<00000000>;
v0xc8c7c0_0 .net "addr", 15 0, v0xc89a50_0;  alias, 1 drivers
v0xc8c8d0_0 .net "data_i", 7 0, v0xc89af0_0;  alias, 1 drivers
v0xc8c9a0_0 .net "data_o", 7 0, L_0x10bb7b0;  alias, 1 drivers
v0xc8caa0_0 .var "latecheddata", 7 0;
v0xc8cb40 .array "mem", 0 65535, 7 0;
v0x108cb00_0 .net "mem0", 7 0, L_0x10bb5f0;  1 drivers
v0x108cbe0_0 .net "mem1", 7 0, L_0x10bb660;  1 drivers
v0x108ccc0_0 .net "mem2", 7 0, L_0x10bb6d0;  1 drivers
v0x108cda0_0 .net "mem3", 7 0, L_0x10bb740;  1 drivers
v0x108cf10_0 .net "oe", 0 0, L_0x10bb820;  1 drivers
v0x108cfd0_0 .net "we", 0 0, L_0x10bb8c0;  1 drivers
E_0xc8c700 .event negedge, v0x108cf10_0;
E_0xc8c760 .event negedge, v0x108cfd0_0;
    .scope S_0xc6f740;
T_0 ;
    %wait E_0xc6fa50;
    %set/v v0xc7c760_0, 0, 8;
    %load/v 8, v0xc7ac90_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7c1c0_0, 1;
    %set/v v0xc7c100_0, 8, 1;
    %load/v 8, v0xc7d540_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %load/v 8, v0xc7c500_0, 5;
    %cmpi/u 8, 3, 5;
    %jmp/1 T_0.0, 6;
    %cmpi/u 8, 9, 5;
    %jmp/1 T_0.1, 6;
    %cmpi/u 8, 1, 5;
    %jmp/1 T_0.2, 6;
    %cmpi/u 8, 11, 5;
    %jmp/1 T_0.3, 6;
    %cmpi/u 8, 2, 5;
    %jmp/1 T_0.4, 6;
    %cmpi/u 8, 17, 5;
    %jmp/1 T_0.5, 6;
    %cmpi/u 8, 16, 5;
    %jmp/1 T_0.6, 6;
    %cmpi/u 8, 20, 5;
    %jmp/1 T_0.7, 6;
    %cmpi/u 8, 18, 5;
    %jmp/1 T_0.8, 6;
    %cmpi/u 8, 21, 5;
    %jmp/1 T_0.9, 6;
    %cmpi/u 8, 19, 5;
    %jmp/1 T_0.10, 6;
    %cmpi/u 8, 22, 5;
    %jmp/1 T_0.11, 6;
    %cmpi/u 8, 4, 5;
    %jmp/1 T_0.12, 6;
    %cmpi/u 8, 10, 5;
    %jmp/1 T_0.13, 6;
    %cmpi/u 8, 8, 5;
    %jmp/1 T_0.14, 6;
    %cmpi/u 8, 25, 5;
    %jmp/1 T_0.15, 6;
    %cmpi/u 8, 26, 5;
    %jmp/1 T_0.16, 6;
    %cmpi/u 8, 6, 5;
    %jmp/1 T_0.17, 6;
    %cmpi/u 8, 7, 5;
    %jmp/1 T_0.18, 6;
    %jmp T_0.19;
T_0.0 ;
    %load/v 8, v0xc7a5b0_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7aed0_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7d6c0_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.1 ;
    %load/v 8, v0xc7a3f0_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7ad50_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7d600_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.2 ;
    %load/v 8, v0xc7d2c0_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7bb40_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7de40_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.3 ;
    %load/v 8, v0xc7d2c0_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7bb40_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7de40_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.4 ;
    %load/v 8, v0xc7cd80_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7b840_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7dc00_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.5 ;
    %load/v 8, v0xc7b500_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7b500_0, 8;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7d900_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.6 ;
    %load/v 8, v0xc7c340_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7b440_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7d9c0_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.7 ;
    %load/v 8, v0xc7a930_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7b110_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7d840_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.8 ;
    %load/v 8, v0xc7d100_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7ba80_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7dd80_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.9 ;
    %load/v 8, v0xc7cf40_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7b9c0_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7dcc0_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.10 ;
    %load/v 8, v0xc7cbc0_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7b780_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7db40_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.11 ;
    %load/v 8, v0xc7ca00_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7b6c0_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7da80_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.12 ;
    %load/v 8, v0xc7a770_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7b050_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7d780_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.13 ;
    %load/v 8, v0xc7c5c0_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7b050_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7d780_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.14 ;
    %load/v 8, v0xc7bf40_0, 8;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7b050_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %load/v 8, v0xc7d780_0, 1;
    %set/v v0xc7d480_0, 8, 1;
    %jmp T_0.19;
T_0.15 ;
    %load/v 8, v0xc7bda0_0, 4;
    %load/v 12, v0xc7bcc0_0, 4;
    %set/v v0xc7c760_0, 8, 8;
    %load/v 8, v0xc7b290_0, 1;
    %set/v v0xc7abd0_0, 8, 1;
    %jmp T_0.19;
T_0.16 ;
    %jmp T_0.19;
T_0.17 ;
    %set/v v0xc7d480_0, 0, 1;
    %load/v 8, v0xc7aaf0_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %set/v v0xc7c760_0, 8, 8;
    %jmp T_0.19;
T_0.18 ;
    %load/v 8, v0xc7a310_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %set/v v0xc7c760_0, 8, 8;
    %jmp T_0.19;
T_0.19 ;
    %jmp T_0;
    .thread T_0, $push;
    .scope S_0xc6f740;
T_1 ;
    %wait E_0xa76e90;
    %load/v 8, v0xc7c760_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc7c920_0, 0, 8;
    %jmp T_1;
    .thread T_1;
    .scope S_0xc6f740;
T_2 ;
    %wait E_0xc6f9d0;
    %load/v 8, v0xc7c760_0, 8;
    %set/v v0xc7c840_0, 8, 8;
    %load/v 8, v0xc7c500_0, 5;
    %cmpi/u 8, 23, 5;
    %jmp/1 T_2.0, 6;
    %cmpi/u 8, 24, 5;
    %jmp/1 T_2.1, 6;
    %load/v 8, v0xc7abd0_0, 1;
    %load/v 9, v0xc7d480_0, 1;
    %load/v 16, v0xc7c760_0, 8;
    %cmpi/u 16, 0, 8;
    %mov 16, 4, 1;
    %mov 10, 16, 1;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_2.4, 4;
    %load/x1p 16, v0xc7c760_0, 1;
    %jmp T_2.5;
T_2.4 ;
    %mov 16, 2, 1;
T_2.5 ;
    %mov 11, 16, 1; Move signal select into place
    %load/v 12, v0xc7c100_0, 1;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_2.6, 4;
    %load/x1p 16, v0xc6fc10_0, 1;
    %jmp T_2.7;
T_2.6 ;
    %mov 16, 2, 1;
T_2.7 ;
    %mov 13, 16, 1; Move signal select into place
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %jmp/1 T_2.8, 4;
    %load/x1p 16, v0xc6fc10_0, 2;
    %jmp T_2.9;
T_2.8 ;
    %mov 16, 2, 2;
T_2.9 ;
    %mov 14, 16, 2; Move signal select into place
    %set/v v0xc6fd20_0, 8, 8;
    %jmp T_2.3;
T_2.0 ;
    %load/v 8, v0xc6fc10_0, 8;
    %load/v 16, v0xc7aaf0_0, 8; Only need 8 of 16 bits
; Save base=16 wid=8 in lookaside.
    %or 8, 16, 8;
    %set/v v0xc6fd20_0, 8, 8;
    %jmp T_2.3;
T_2.1 ;
    %load/v 8, v0xc6fc10_0, 8;
    %load/v 16, v0xc7aaf0_0, 8; Only need 8 of 16 bits
; Save base=16 wid=8 in lookaside.
    %and 8, 16, 8;
    %set/v v0xc6fd20_0, 8, 8;
    %jmp T_2.3;
T_2.3 ;
    %jmp T_2;
    .thread T_2, $push;
    .scope S_0xc6f740;
T_3 ;
    %end;
    .thread T_3;
    .scope S_0xb40d50;
T_4 ;
    %wait E_0xa76e90;
    %load/v 8, v0xbf2ee0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_4.0, 8;
    %load/v 9, v0xc60ee0_0, 8;
    %mov 17, 0, 8;
    %jmp/1  T_4.2, 8;
T_4.0 ; End of true expr.
    %jmp/0  T_4.1, 8;
 ; End of false expr.
    %blend  9, 0, 16; Condition unknown.
    %jmp  T_4.2;
T_4.1 ;
    %mov 9, 0, 16; Return false value
T_4.2 ;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_4.6, 4;
    %load/x1p 8, v0xbf2ee0_0, 1;
    %jmp T_4.7;
T_4.6 ;
    %mov 8, 2, 1;
T_4.7 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_4.3, 8;
    %mov 25, 0, 1;
    %load/v 26, v0xc60ee0_0, 8;
    %mov 34, 0, 7;
    %jmp/1  T_4.5, 8;
T_4.3 ; End of true expr.
    %jmp/0  T_4.4, 8;
 ; End of false expr.
    %blend  25, 0, 16; Condition unknown.
    %jmp  T_4.5;
T_4.4 ;
    %mov 25, 0, 16; Return false value
T_4.5 ;
    %add 9, 25, 16;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_4.11, 4;
    %load/x1p 8, v0xbf2ee0_0, 1;
    %jmp T_4.12;
T_4.11 ;
    %mov 8, 2, 1;
T_4.12 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_4.8, 8;
    %mov 25, 0, 2;
    %load/v 27, v0xc60ee0_0, 8;
    %mov 35, 0, 6;
    %jmp/1  T_4.10, 8;
T_4.8 ; End of true expr.
    %jmp/0  T_4.9, 8;
 ; End of false expr.
    %blend  25, 0, 16; Condition unknown.
    %jmp  T_4.10;
T_4.9 ;
    %mov 25, 0, 16; Return false value
T_4.10 ;
    %add 9, 25, 16;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_4.16, 4;
    %load/x1p 8, v0xbf2ee0_0, 1;
    %jmp T_4.17;
T_4.16 ;
    %mov 8, 2, 1;
T_4.17 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_4.13, 8;
    %mov 25, 0, 3;
    %load/v 28, v0xc60ee0_0, 8;
    %mov 36, 0, 5;
    %jmp/1  T_4.15, 8;
T_4.13 ; End of true expr.
    %jmp/0  T_4.14, 8;
 ; End of false expr.
    %blend  25, 0, 16; Condition unknown.
    %jmp  T_4.15;
T_4.14 ;
    %mov 25, 0, 16; Return false value
T_4.15 ;
    %add 9, 25, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc61090_0, 0, 9;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_4.21, 4;
    %load/x1p 8, v0xbf2ee0_0, 1;
    %jmp T_4.22;
T_4.21 ;
    %mov 8, 2, 1;
T_4.22 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_4.18, 8;
    %mov 9, 0, 4;
    %load/v 13, v0xc60ee0_0, 8;
    %mov 21, 0, 4;
    %jmp/1  T_4.20, 8;
T_4.18 ; End of true expr.
    %jmp/0  T_4.19, 8;
 ; End of false expr.
    %blend  9, 0, 16; Condition unknown.
    %jmp  T_4.20;
T_4.19 ;
    %mov 9, 0, 16; Return false value
T_4.20 ;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_4.26, 4;
    %load/x1p 8, v0xbf2ee0_0, 1;
    %jmp T_4.27;
T_4.26 ;
    %mov 8, 2, 1;
T_4.27 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_4.23, 8;
    %mov 25, 0, 5;
    %load/v 30, v0xc60ee0_0, 8;
    %mov 38, 0, 3;
    %jmp/1  T_4.25, 8;
T_4.23 ; End of true expr.
    %jmp/0  T_4.24, 8;
 ; End of false expr.
    %blend  25, 0, 16; Condition unknown.
    %jmp  T_4.25;
T_4.24 ;
    %mov 25, 0, 16; Return false value
T_4.25 ;
    %add 9, 25, 16;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %jmp/1 T_4.31, 4;
    %load/x1p 8, v0xbf2ee0_0, 1;
    %jmp T_4.32;
T_4.31 ;
    %mov 8, 2, 1;
T_4.32 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_4.28, 8;
    %mov 25, 0, 6;
    %load/v 31, v0xc60ee0_0, 8;
    %mov 39, 0, 2;
    %jmp/1  T_4.30, 8;
T_4.28 ; End of true expr.
    %jmp/0  T_4.29, 8;
 ; End of false expr.
    %blend  25, 0, 16; Condition unknown.
    %jmp  T_4.30;
T_4.29 ;
    %mov 25, 0, 16; Return false value
T_4.30 ;
    %add 9, 25, 16;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_4.36, 4;
    %load/x1p 8, v0xbf2ee0_0, 1;
    %jmp T_4.37;
T_4.36 ;
    %mov 8, 2, 1;
T_4.37 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_4.33, 8;
    %mov 25, 0, 7;
    %load/v 32, v0xc60ee0_0, 8;
    %mov 40, 0, 1;
    %jmp/1  T_4.35, 8;
T_4.33 ; End of true expr.
    %jmp/0  T_4.34, 8;
 ; End of false expr.
    %blend  25, 0, 16; Condition unknown.
    %jmp  T_4.35;
T_4.34 ;
    %mov 25, 0, 16; Return false value
T_4.35 ;
    %add 9, 25, 16;
    %load/v 25, v0xc61090_0, 16;
    %add 9, 25, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc61170_0, 0, 9;
    %jmp T_4;
    .thread T_4;
    .scope S_0xb454e0;
T_5 ;
    %wait E_0xa78c50;
    %set/v v0xc6db80_0, 0, 16;
    %load/v 8, v0xc6c320_0, 1;
    %set/v v0xc6c260_0, 8, 1;
    %load/v 8, v0xc6eae0_0, 1;
    %set/v v0xc6ea20_0, 8, 1;
    %load/v 8, v0xc6d8e0_0, 5;
    %cmpi/u 8, 3, 5;
    %jmp/1 T_5.0, 6;
    %cmpi/u 8, 9, 5;
    %jmp/1 T_5.1, 6;
    %cmpi/u 8, 1, 5;
    %jmp/1 T_5.2, 6;
    %cmpi/u 8, 11, 5;
    %jmp/1 T_5.3, 6;
    %cmpi/u 8, 2, 5;
    %jmp/1 T_5.4, 6;
    %cmpi/u 8, 26, 5;
    %jmp/1 T_5.5, 6;
    %cmpi/u 8, 6, 5;
    %jmp/1 T_5.6, 6;
    %cmpi/u 8, 7, 5;
    %jmp/1 T_5.7, 6;
    %cmpi/u 8, 14, 5;
    %jmp/1 T_5.8, 6;
    %cmpi/u 8, 28, 5;
    %jmp/1 T_5.9, 6;
    %jmp T_5.10;
T_5.0 ;
    %load/v 8, v0xc6bc40_0, 16;
    %set/v v0xc6db80_0, 8, 16;
    %load/v 8, v0xc6c560_0, 1;
    %set/v v0xc6c260_0, 8, 1;
    %load/v 8, v0xc6ec60_0, 1;
    %set/v v0xc6ea20_0, 8, 1;
    %jmp T_5.10;
T_5.1 ;
    %load/v 8, v0xc6ba80_0, 16;
    %set/v v0xc6db80_0, 8, 16;
    %load/v 8, v0xc6c3e0_0, 1;
    %set/v v0xc6c260_0, 8, 1;
    %load/v 8, v0xc6eba0_0, 1;
    %set/v v0xc6ea20_0, 8, 1;
    %jmp T_5.10;
T_5.2 ;
    %load/v 8, v0xc6e860_0, 16;
    %set/v v0xc6db80_0, 8, 16;
    %load/v 8, v0xc6d190_0, 1;
    %set/v v0xc6c260_0, 8, 1;
    %load/v 8, v0xc6f3e0_0, 1;
    %set/v v0xc6ea20_0, 8, 1;
    %jmp T_5.10;
T_5.3 ;
    %load/v 8, v0xc6e860_0, 16;
    %set/v v0xc6db80_0, 8, 16;
    %load/v 8, v0xc6d190_0, 1;
    %set/v v0xc6c260_0, 8, 1;
    %load/v 8, v0xc6f3e0_0, 1;
    %set/v v0xc6ea20_0, 8, 1;
    %jmp T_5.10;
T_5.4 ;
    %load/v 8, v0xc6e320_0, 16;
    %set/v v0xc6db80_0, 8, 16;
    %load/v 8, v0xc6ce90_0, 1;
    %set/v v0xc6c260_0, 8, 1;
    %load/v 8, v0xc6f1a0_0, 1;
    %set/v v0xc6ea20_0, 8, 1;
    %jmp T_5.10;
T_5.5 ;
    %load/v 8, v0xc6d4d0_0, 16;
    %set/v v0xc6db80_0, 8, 16;
    %load/v 8, v0xc6c9f0_0, 1;
    %set/v v0xc6c260_0, 8, 1;
    %jmp T_5.10;
T_5.6 ;
    %set/v v0xc6ea20_0, 0, 1;
    %load/v 8, v0xc6c180_0, 16;
    %set/v v0xc6db80_0, 8, 16;
    %jmp T_5.10;
T_5.7 ;
    %load/v 8, v0xc6b9a0_0, 16;
    %set/v v0xc6db80_0, 8, 16;
    %jmp T_5.10;
T_5.8 ;
    %load/v 8, v0xc6c180_0, 8; Select 8 out of 16 bits
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_5.14, 4;
    %load/x1p 24, v0xc6c180_0, 1;
    %jmp T_5.15;
T_5.14 ;
    %mov 24, 2, 1;
T_5.15 ;
; Save base=24 wid=1 in lookaside.
    %jmp/0  T_5.11, 24;
    %mov 25, 1, 8;
    %jmp/1  T_5.13, 24;
T_5.11 ; End of true expr.
    %jmp/0  T_5.12, 24;
 ; End of false expr.
    %blend  25, 0, 8; Condition unknown.
    %jmp  T_5.13;
T_5.12 ;
    %mov 25, 0, 8; Return false value
T_5.13 ;
    %mov 16, 25, 8;
    %set/v v0xc6db80_0, 8, 16;
    %jmp T_5.10;
T_5.9 ;
    %load/v 8, v0xc6b9a0_0, 16;
    %set/v v0xc6db80_0, 8, 16;
    %jmp T_5.10;
T_5.10 ;
    %jmp T_5;
    .thread T_5, $push;
    .scope S_0xb454e0;
T_6 ;
    %wait E_0xa76e90;
    %load/v 8, v0xc6db80_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc6dec0_0, 0, 8;
    %load/v 8, v0xc6d660_0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc6dd40_0, 0, 8;
    %load/v 8, v0xc6f560_0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc6de00_0, 0, 8;
    %jmp T_6;
    .thread T_6;
    .scope S_0xb454e0;
T_7 ;
    %wait E_0xa809a0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_7.0, 4;
    %load/x1p 8, v0xc6db80_0, 1;
    %jmp T_7.1;
T_7.0 ;
    %mov 8, 2, 1;
T_7.1 ;
; Save base=8 wid=1 in lookaside.
    %set/v v0xc6d5c0_0, 8, 1;
    %load/v 8, v0xc6db80_0, 16;
    %cmpi/u 8, 0, 16;
    %mov 8, 4, 1;
    %set/v v0xc6f4a0_0, 8, 1;
    %load/v 8, v0xc6d8e0_0, 5;
    %cmpi/u 8, 3, 5;
    %jmp/1 T_7.2, 6;
    %cmpi/u 8, 9, 5;
    %jmp/1 T_7.3, 6;
    %cmpi/u 8, 1, 5;
    %jmp/1 T_7.4, 6;
    %cmpi/u 8, 11, 5;
    %jmp/1 T_7.5, 6;
    %cmpi/u 8, 2, 5;
    %jmp/1 T_7.6, 6;
    %cmpi/u 8, 17, 5;
    %jmp/1 T_7.7, 6;
    %cmpi/u 8, 16, 5;
    %jmp/1 T_7.8, 6;
    %cmpi/u 8, 20, 5;
    %jmp/1 T_7.9, 6;
    %cmpi/u 8, 18, 5;
    %jmp/1 T_7.10, 6;
    %cmpi/u 8, 21, 5;
    %jmp/1 T_7.11, 6;
    %cmpi/u 8, 19, 5;
    %jmp/1 T_7.12, 6;
    %cmpi/u 8, 22, 5;
    %jmp/1 T_7.13, 6;
    %cmpi/u 8, 4, 5;
    %jmp/1 T_7.14, 6;
    %cmpi/u 8, 10, 5;
    %jmp/1 T_7.15, 6;
    %cmpi/u 8, 8, 5;
    %jmp/1 T_7.16, 6;
    %cmpi/u 8, 26, 5;
    %jmp/1 T_7.17, 6;
    %cmpi/u 8, 6, 5;
    %jmp/1 T_7.18, 6;
    %cmpi/u 8, 7, 5;
    %jmp/1 T_7.19, 6;
    %cmpi/u 8, 14, 5;
    %jmp/1 T_7.20, 6;
    %cmpi/u 8, 28, 5;
    %jmp/1 T_7.21, 6;
    %jmp T_7.22;
T_7.2 ;
    %jmp T_7.22;
T_7.3 ;
    %jmp T_7.22;
T_7.4 ;
    %jmp T_7.22;
T_7.5 ;
    %jmp T_7.22;
T_7.6 ;
    %jmp T_7.22;
T_7.7 ;
    %jmp T_7.22;
T_7.8 ;
    %jmp T_7.22;
T_7.9 ;
    %jmp T_7.22;
T_7.10 ;
    %jmp T_7.22;
T_7.11 ;
    %jmp T_7.22;
T_7.12 ;
    %jmp T_7.22;
T_7.13 ;
    %jmp T_7.22;
T_7.14 ;
    %jmp T_7.22;
T_7.15 ;
    %jmp T_7.22;
T_7.16 ;
    %jmp T_7.22;
T_7.17 ;
    %load/v 8, v0xc6dd40_0, 1;
    %set/v v0xc6d5c0_0, 8, 1;
    %jmp T_7.22;
T_7.18 ;
    %jmp T_7.22;
T_7.19 ;
    %jmp T_7.22;
T_7.20 ;
    %load/v 8, v0xc6dd40_0, 1;
    %set/v v0xc6d5c0_0, 8, 1;
    %load/v 8, v0xc6de00_0, 1;
    %set/v v0xc6f4a0_0, 8, 1;
    %jmp T_7.22;
T_7.21 ;
    %load/v 8, v0xc6dd40_0, 1;
    %set/v v0xc6d5c0_0, 8, 1;
    %jmp T_7.22;
T_7.22 ;
    %jmp T_7;
    .thread T_7, $push;
    .scope S_0xb454e0;
T_8 ;
    %wait E_0xa52ec0;
    %load/v 8, v0xc6db80_0, 16;
    %set/v v0xc6dc60_0, 8, 16;
    %load/v 8, v0xc6c260_0, 1;
    %load/v 9, v0xc6ea20_0, 1;
    %load/v 10, v0xc6f4a0_0, 1;
    %load/v 11, v0xc6d5c0_0, 1;
    %set/v v0xc61500_0, 8, 4;
    %jmp T_8;
    .thread T_8, $push;
    .scope S_0xb454e0;
T_9 ;
    %end;
    .thread T_9;
    .scope S_0xc1f570;
T_10 ;
    %wait E_0xa76e90;
    %load/v 8, v0xc777e0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc7f620_0, 0, 8;
    %load/v 8, v0xc7efb0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc7f710_0, 0, 8;
    %jmp T_10;
    .thread T_10;
    .scope S_0xc1f570;
T_11 ;
    %wait E_0xba1a00;
    %load/v 8, v0xc7f8c0_0, 1;
    %jmp/0xz  T_11.0, 8;
    %load/v 8, v0xc7f3d0_0, 16;
    %set/v v0xc7f560_0, 8, 16;
    %load/v 8, v0xc7f070_0, 4;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_11.2, 4;
    %load/x1p 16, v0xc77220_0, 4;
    %jmp T_11.3;
T_11.2 ;
    %mov 16, 2, 4;
T_11.3 ;
    %mov 12, 16, 4; Move signal select into place
    %set/v v0xc77350_0, 8, 8;
    %jmp T_11.1;
T_11.0 ;
    %load/v 8, v0xc7f490_0, 8;
    %mov 16, 0, 8;
    %set/v v0xc7f560_0, 8, 16;
    %load/v 8, v0xc7f1c0_0, 8;
    %set/v v0xc77350_0, 8, 8;
T_11.1 ;
    %jmp T_11;
    .thread T_11, $push;
    .scope S_0xc83370;
T_12 ;
    %wait E_0xc83af0;
    %load/v 8, v0xc856a0_0, 4;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_12.0, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_12.1, 6;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_12.2, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_12.3, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_12.4, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_12.5, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_12.6, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_12.7, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_12.8, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_12.9, 6;
    %set/v v0xc85780_0, 1, 16;
    %jmp T_12.11;
T_12.0 ;
    %load/v 8, v0xc83bc0_0, 8;
    %mov 16, 1, 8;
    %set/v v0xc85780_0, 8, 16;
    %jmp T_12.11;
T_12.1 ;
    %load/v 8, v0xc83ca0_0, 8;
    %mov 16, 1, 8;
    %set/v v0xc85780_0, 8, 16;
    %jmp T_12.11;
T_12.2 ;
    %load/v 8, v0xc83ca0_0, 8;
    %load/v 16, v0xc83bc0_0, 8;
    %set/v v0xc85780_0, 8, 16;
    %jmp T_12.11;
T_12.3 ;
    %load/v 8, v0xc83ff0_0, 16;
    %set/v v0xc85780_0, 8, 16;
    %jmp T_12.11;
T_12.4 ;
    %load/v 8, v0xc840d0_0, 16;
    %set/v v0xc85780_0, 8, 16;
    %jmp T_12.11;
T_12.5 ;
    %load/v 8, v0xc84400_0, 16;
    %set/v v0xc85780_0, 8, 16;
    %jmp T_12.11;
T_12.6 ;
    %load/v 8, v0xc84290_0, 16;
    %set/v v0xc85780_0, 8, 16;
    %jmp T_12.11;
T_12.7 ;
    %load/v 8, v0xc841b0_0, 16;
    %set/v v0xc85780_0, 8, 16;
    %jmp T_12.11;
T_12.8 ;
    %load/v 8, v0xc83ec0_0, 8;
    %load/v 16, v0xc83ec0_0, 8;
    %set/v v0xc85780_0, 8, 16;
    %jmp T_12.11;
T_12.9 ;
    %load/v 24, v0xc845c0_0, 1;
    %load/v 25, v0xc85f50_0, 1;
    %load/v 26, v0xc86550_0, 1;
    %load/v 27, v0xc85500_0, 1;
    %load/v 28, v0xc85280_0, 1;
    %load/v 29, v0xc85040_0, 1;
    %load/v 30, v0xc84f80_0, 1;
    %load/v 31, v0xc84e00_0, 1;
    %mov 8, 24, 8;
    %load/v 24, v0xc845c0_0, 1;
    %load/v 25, v0xc85f50_0, 1;
    %load/v 26, v0xc86550_0, 1;
    %load/v 27, v0xc85500_0, 1;
    %load/v 28, v0xc85280_0, 1;
    %load/v 29, v0xc85040_0, 1;
    %load/v 30, v0xc84f80_0, 1;
    %load/v 31, v0xc84e00_0, 1;
    %mov 16, 24, 8;
    %set/v v0xc85780_0, 8, 16;
    %jmp T_12.11;
T_12.11 ;
    %jmp T_12;
    .thread T_12, $push;
    .scope S_0xc83370;
T_13 ;
    %wait E_0xc83a20;
    %load/v 8, v0xc84af0_0, 4;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_13.0, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_13.1, 6;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_13.2, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_13.3, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_13.4, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_13.5, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_13.6, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_13.7, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_13.8, 6;
    %set/v v0xc85a60_0, 1, 16;
    %jmp T_13.10;
T_13.0 ;
    %load/v 8, v0xc83bc0_0, 8;
    %mov 16, 1, 8;
    %set/v v0xc85a60_0, 8, 16;
    %jmp T_13.10;
T_13.1 ;
    %load/v 8, v0xc83ca0_0, 8;
    %mov 16, 1, 8;
    %set/v v0xc85a60_0, 8, 16;
    %jmp T_13.10;
T_13.2 ;
    %load/v 8, v0xc83ca0_0, 8;
    %load/v 16, v0xc83bc0_0, 8;
    %set/v v0xc85a60_0, 8, 16;
    %jmp T_13.10;
T_13.3 ;
    %load/v 8, v0xc83ff0_0, 16;
    %set/v v0xc85a60_0, 8, 16;
    %jmp T_13.10;
T_13.4 ;
    %load/v 8, v0xc840d0_0, 16;
    %set/v v0xc85a60_0, 8, 16;
    %jmp T_13.10;
T_13.5 ;
    %load/v 8, v0xc84400_0, 16;
    %set/v v0xc85a60_0, 8, 16;
    %jmp T_13.10;
T_13.6 ;
    %load/v 8, v0xc84290_0, 16;
    %set/v v0xc85a60_0, 8, 16;
    %jmp T_13.10;
T_13.7 ;
    %load/v 8, v0xc83ec0_0, 8;
    %load/v 16, v0xc83ec0_0, 8;
    %set/v v0xc85a60_0, 8, 16;
    %jmp T_13.10;
T_13.8 ;
    %load/v 24, v0xc845c0_0, 1;
    %load/v 25, v0xc85f50_0, 1;
    %load/v 26, v0xc86550_0, 1;
    %load/v 27, v0xc85500_0, 1;
    %load/v 28, v0xc85280_0, 1;
    %load/v 29, v0xc85040_0, 1;
    %load/v 30, v0xc84f80_0, 1;
    %load/v 31, v0xc84e00_0, 1;
    %mov 8, 24, 8;
    %load/v 24, v0xc845c0_0, 1;
    %load/v 25, v0xc85f50_0, 1;
    %load/v 26, v0xc86550_0, 1;
    %load/v 27, v0xc85500_0, 1;
    %load/v 28, v0xc85280_0, 1;
    %load/v 29, v0xc85040_0, 1;
    %load/v 30, v0xc84f80_0, 1;
    %load/v 31, v0xc84e00_0, 1;
    %mov 16, 24, 8;
    %set/v v0xc85a60_0, 8, 16;
    %jmp T_13.10;
T_13.10 ;
    %jmp T_13;
    .thread T_13, $push;
    .scope S_0xc83370;
T_14 ;
    %wait E_0xc839e0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_14.0, 4;
    %load/x1p 8, v0xc84d40_0, 2;
    %jmp T_14.1;
T_14.0 ;
    %mov 8, 2, 2;
T_14.1 ;
; Save base=8 wid=2 in lookaside.
    %cmpi/u 8, 0, 2;
    %jmp/1 T_14.2, 6;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_14.3, 6;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_14.4, 6;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_14.5, 6;
    %jmp T_14.6;
T_14.2 ;
    %load/v 8, v0xc83ff0_0, 16;
    %set/v v0xc84a10_0, 8, 16;
    %jmp T_14.6;
T_14.3 ;
    %load/v 8, v0xc840d0_0, 16;
    %set/v v0xc84a10_0, 8, 16;
    %jmp T_14.6;
T_14.4 ;
    %load/v 8, v0xc84400_0, 16;
    %set/v v0xc84a10_0, 8, 16;
    %jmp T_14.6;
T_14.5 ;
    %load/v 8, v0xc84290_0, 16;
    %set/v v0xc84a10_0, 8, 16;
    %jmp T_14.6;
T_14.6 ;
    %jmp T_14;
    .thread T_14, $push;
    .scope S_0xc83370;
T_15 ;
    %wait E_0xc83980;
    %load/v 8, v0xc84a10_0, 16;
    %set/v v0xc84bc0_0, 8, 16;
    %load/v 8, v0xc84d40_0, 8;
    %movi 16, 0, 4;
    %mov 20, 2, 3;
    %movi 23, 1, 1;
    %cmp/x 8, 16, 8;
    %jmp/1 T_15.0, 4;
    %movi 24, 1, 4;
    %mov 28, 2, 3;
    %movi 31, 1, 1;
    %cmp/x 8, 24, 8;
    %jmp/1 T_15.1, 4;
    %movi 32, 2, 4;
    %mov 36, 2, 3;
    %movi 39, 1, 1;
    %cmp/x 8, 32, 8;
    %jmp/1 T_15.2, 4;
    %movi 40, 3, 4;
    %mov 44, 2, 3;
    %movi 47, 1, 1;
    %cmp/x 8, 40, 8;
    %jmp/1 T_15.3, 4;
    %jmp T_15.4;
T_15.0 ;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0xc84a10_0, 16;
    %set/v v0xc84bc0_0, 8, 16;
    %jmp T_15.4;
T_15.1 ;
    %ix/load 0, 2, 0;
    %load/vp0 8, v0xc84a10_0, 16;
    %set/v v0xc84bc0_0, 8, 16;
    %jmp T_15.4;
T_15.2 ;
    %load/v 8, v0xc84a10_0, 16;
    %subi 8, 1, 16;
    %set/v v0xc84bc0_0, 8, 16;
    %jmp T_15.4;
T_15.3 ;
    %load/v 8, v0xc84a10_0, 16;
    %subi 8, 2, 16;
    %set/v v0xc84bc0_0, 8, 16;
    %jmp T_15.4;
T_15.4 ;
    %jmp T_15;
    .thread T_15, $push;
    .scope S_0xc83370;
T_16 ;
    %wait E_0xc838e0;
    %movi 8, 65261, 16;
    %set/v v0xc84c60_0, 8, 16;
    %load/v 8, v0xc84d40_0, 8;
    %mov 16, 2, 4;
    %movi 20, 0, 1;
    %mov 21, 2, 2;
    %movi 23, 0, 1;
    %cmp/x 8, 16, 8;
    %jmp/1 T_16.0, 4;
    %mov 24, 2, 4;
    %movi 28, 1, 1;
    %mov 29, 2, 2;
    %movi 31, 0, 1;
    %cmp/x 8, 24, 8;
    %jmp/1 T_16.1, 4;
    %movi 32, 0, 4;
    %mov 36, 2, 3;
    %movi 39, 1, 1;
    %cmp/x 8, 32, 8;
    %jmp/1 T_16.2, 4;
    %movi 40, 1, 4;
    %mov 44, 2, 3;
    %movi 47, 1, 1;
    %cmp/x 8, 40, 8;
    %jmp/1 T_16.3, 4;
    %movi 48, 4, 4;
    %mov 52, 2, 3;
    %movi 55, 1, 1;
    %cmp/x 8, 48, 8;
    %jmp/1 T_16.4, 4;
    %movi 56, 2, 4;
    %mov 60, 2, 3;
    %movi 63, 1, 1;
    %cmp/x 8, 56, 8;
    %jmp/1 T_16.5, 4;
    %movi 64, 3, 4;
    %mov 68, 2, 3;
    %movi 71, 1, 1;
    %cmp/x 8, 64, 8;
    %jmp/1 T_16.6, 4;
    %movi 72, 5, 4;
    %mov 76, 2, 3;
    %movi 79, 1, 1;
    %cmp/x 8, 72, 8;
    %jmp/1 T_16.7, 4;
    %movi 80, 6, 4;
    %mov 84, 2, 3;
    %movi 87, 1, 1;
    %cmp/x 8, 80, 8;
    %jmp/1 T_16.8, 4;
    %movi 88, 11, 4;
    %mov 92, 2, 3;
    %movi 95, 1, 1;
    %cmp/x 8, 88, 8;
    %jmp/1 T_16.9, 4;
    %movi 96, 8, 4;
    %mov 100, 2, 3;
    %movi 103, 1, 1;
    %cmp/x 8, 96, 8;
    %jmp/1 T_16.10, 4;
    %movi 104, 9, 4;
    %mov 108, 2, 3;
    %movi 111, 1, 1;
    %cmp/x 8, 104, 8;
    %jmp/1 T_16.11, 4;
    %movi 112, 12, 4;
    %mov 116, 2, 3;
    %movi 119, 1, 1;
    %cmp/x 8, 112, 8;
    %jmp/1 T_16.12, 4;
    %movi 120, 13, 4;
    %mov 124, 2, 3;
    %movi 127, 1, 1;
    %cmp/x 8, 120, 8;
    %jmp/1 T_16.13, 4;
    %jmp T_16.14;
T_16.0 ;
    %load/v 8, v0xc84a10_0, 16;
    %load/v 24, v0xc84d40_0, 4; Select 4 out of 8 bits
    %mov 28, 0, 12;
    %add 8, 24, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.1 ;
    %load/v 8, v0xc84a10_0, 16;
    %load/v 24, v0xc84d40_0, 4; Select 4 out of 8 bits
    %mov 28, 1, 12;
    %add 8, 24, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.2 ;
    %load/v 8, v0xc84a10_0, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.3 ;
    %load/v 8, v0xc84a10_0, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.4 ;
    %load/v 8, v0xc84a10_0, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.5 ;
    %load/v 8, v0xc84bc0_0, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.6 ;
    %load/v 8, v0xc84bc0_0, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.7 ;
    %load/v 8, v0xc84a10_0, 16;
    %load/v 24, v0xc83ca0_0, 8;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_16.15, 4;
    %load/x1p 48, v0xc83ca0_0, 1;
    %jmp T_16.16;
T_16.15 ;
    %mov 48, 2, 1;
T_16.16 ;
    %mov 40, 48, 1; Move signal select into place
    %mov 47, 40, 1; Repetition 8
    %mov 46, 40, 1; Repetition 7
    %mov 45, 40, 1; Repetition 6
    %mov 44, 40, 1; Repetition 5
    %mov 43, 40, 1; Repetition 4
    %mov 42, 40, 1; Repetition 3
    %mov 41, 40, 1; Repetition 2
    %mov 32, 40, 8;
    %add 8, 24, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.8 ;
    %load/v 8, v0xc84a10_0, 16;
    %load/v 24, v0xc83bc0_0, 8;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_16.17, 4;
    %load/x1p 48, v0xc83bc0_0, 1;
    %jmp T_16.18;
T_16.17 ;
    %mov 48, 2, 1;
T_16.18 ;
    %mov 40, 48, 1; Move signal select into place
    %mov 47, 40, 1; Repetition 8
    %mov 46, 40, 1; Repetition 7
    %mov 45, 40, 1; Repetition 6
    %mov 44, 40, 1; Repetition 5
    %mov 43, 40, 1; Repetition 4
    %mov 42, 40, 1; Repetition 3
    %mov 41, 40, 1; Repetition 2
    %mov 32, 40, 8;
    %add 8, 24, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.9 ;
    %load/v 8, v0xc84a10_0, 16;
    %load/v 24, v0xc83ca0_0, 8;
    %load/v 32, v0xc83bc0_0, 8;
    %add 8, 24, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.10 ;
    %load/v 8, v0xc84a10_0, 16;
    %load/v 24, v0xc855c0_0, 8; Select 8 out of 16 bits
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_16.22, 4;
    %load/x1p 40, v0xc855c0_0, 1;
    %jmp T_16.23;
T_16.22 ;
    %mov 40, 2, 1;
T_16.23 ;
; Save base=40 wid=1 in lookaside.
    %jmp/0  T_16.19, 40;
    %mov 41, 1, 8;
    %jmp/1  T_16.21, 40;
T_16.19 ; End of true expr.
    %jmp/0  T_16.20, 40;
 ; End of false expr.
    %blend  41, 0, 8; Condition unknown.
    %jmp  T_16.21;
T_16.20 ;
    %mov 41, 0, 8; Return false value
T_16.21 ;
    %mov 32, 41, 8;
    %add 8, 24, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.11 ;
    %load/v 8, v0xc84a10_0, 16;
    %load/v 24, v0xc855c0_0, 16;
    %add 8, 24, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.12 ;
    %load/v 8, v0xc841b0_0, 16;
    %load/v 24, v0xc855c0_0, 8; Select 8 out of 16 bits
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_16.27, 4;
    %load/x1p 40, v0xc855c0_0, 1;
    %jmp T_16.28;
T_16.27 ;
    %mov 40, 2, 1;
T_16.28 ;
; Save base=40 wid=1 in lookaside.
    %jmp/0  T_16.24, 40;
    %mov 41, 1, 8;
    %jmp/1  T_16.26, 40;
T_16.24 ; End of true expr.
    %jmp/0  T_16.25, 40;
 ; End of false expr.
    %blend  41, 0, 8; Condition unknown.
    %jmp  T_16.26;
T_16.25 ;
    %mov 41, 0, 8; Return false value
T_16.26 ;
    %mov 32, 41, 8;
    %add 8, 24, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.13 ;
    %load/v 8, v0xc841b0_0, 16;
    %load/v 24, v0xc855c0_0, 16;
    %add 8, 24, 16;
    %set/v v0xc84c60_0, 8, 16;
    %jmp T_16.14;
T_16.14 ;
    %jmp T_16;
    .thread T_16, $push;
    .scope S_0xc83370;
T_17 ;
    %wait E_0xa76e90;
    %load/v 8, v0xc85ff0_0, 1;
    %jmp/0xz  T_17.0, 8;
    %load/v 8, v0xc84ea0_0, 4;
    %pad 12, 0, 2;
    %cmpi/u 8, 0, 6;
    %jmp/1 T_17.2, 6;
    %cmpi/u 8, 1, 6;
    %jmp/1 T_17.3, 6;
    %cmpi/u 8, 2, 6;
    %jmp/1 T_17.4, 6;
    %cmpi/u 8, 3, 6;
    %jmp/1 T_17.5, 6;
    %cmpi/u 8, 4, 6;
    %jmp/1 T_17.6, 6;
    %cmpi/u 8, 5, 6;
    %jmp/1 T_17.7, 6;
    %cmpi/u 8, 8, 6;
    %jmp/1 T_17.8, 6;
    %cmpi/u 8, 9, 6;
    %jmp/1 T_17.9, 6;
    %cmpi/u 8, 10, 6;
    %jmp/1 T_17.10, 6;
    %cmpi/u 8, 11, 6;
    %jmp/1 T_17.11, 6;
    %jmp T_17.12;
T_17.2 ;
    %load/v 8, v0xc85a60_0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc83ca0_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc83bc0_0, 0, 16;
    %jmp T_17.12;
T_17.3 ;
    %load/v 8, v0xc85a60_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc83ff0_0, 0, 8;
    %jmp T_17.12;
T_17.4 ;
    %load/v 8, v0xc85a60_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc840d0_0, 0, 8;
    %jmp T_17.12;
T_17.5 ;
    %load/v 8, v0xc85a60_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc84400_0, 0, 8;
    %jmp T_17.12;
T_17.6 ;
    %load/v 8, v0xc85a60_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc84290_0, 0, 8;
    %jmp T_17.12;
T_17.7 ;
    %load/v 8, v0xc85a60_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc841b0_0, 0, 8;
    %jmp T_17.12;
T_17.8 ;
    %load/v 8, v0xc85a60_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v0xc83bc0_0, 0, 8;
    %jmp T_17.12;
T_17.9 ;
    %load/v 8, v0xc85a60_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v0xc83ca0_0, 0, 8;
    %jmp T_17.12;
T_17.10 ;
    %load/v 8, v0xc85a60_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 1, 0;
    %assign/v0 v0xc845c0_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc85f50_0, 0, 9;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc86550_0, 0, 10;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc85500_0, 0, 11;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc85280_0, 0, 12;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc85040_0, 0, 13;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc84f80_0, 0, 14;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc84e00_0, 0, 15;
    %jmp T_17.12;
T_17.11 ;
    %load/v 8, v0xc85a60_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v0xc83ec0_0, 0, 8;
    %jmp T_17.12;
T_17.12 ;
T_17.0 ;
    %load/v 8, v0xc86490_0, 1;
    %load/v 9, v0xc85ff0_0, 1;
    %or 8, 9, 1;
    %load/v 9, v0xc862f0_0, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_17.13, 8;
    %load/v 8, v0xc863b0_0, 4;
    %pad 12, 0, 2;
    %cmpi/u 8, 0, 6;
    %jmp/1 T_17.15, 6;
    %cmpi/u 8, 1, 6;
    %jmp/1 T_17.16, 6;
    %cmpi/u 8, 2, 6;
    %jmp/1 T_17.17, 6;
    %cmpi/u 8, 3, 6;
    %jmp/1 T_17.18, 6;
    %cmpi/u 8, 4, 6;
    %jmp/1 T_17.19, 6;
    %cmpi/u 8, 5, 6;
    %jmp/1 T_17.20, 6;
    %cmpi/u 8, 8, 6;
    %jmp/1 T_17.21, 6;
    %cmpi/u 8, 9, 6;
    %jmp/1 T_17.22, 6;
    %cmpi/u 8, 10, 6;
    %jmp/1 T_17.23, 6;
    %cmpi/u 8, 11, 6;
    %jmp/1 T_17.24, 6;
    %jmp T_17.25;
T_17.15 ;
    %load/v 8, v0xc85340_0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc83ca0_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc83bc0_0, 0, 16;
    %jmp T_17.25;
T_17.16 ;
    %load/v 8, v0xc85340_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc83ff0_0, 0, 8;
    %jmp T_17.25;
T_17.17 ;
    %load/v 8, v0xc85340_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc840d0_0, 0, 8;
    %jmp T_17.25;
T_17.18 ;
    %load/v 8, v0xc85340_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc84400_0, 0, 8;
    %jmp T_17.25;
T_17.19 ;
    %load/v 8, v0xc85340_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc84290_0, 0, 8;
    %jmp T_17.25;
T_17.20 ;
    %load/v 8, v0xc85340_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc841b0_0, 0, 8;
    %jmp T_17.25;
T_17.21 ;
    %load/v 8, v0xc85340_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v0xc83bc0_0, 0, 8;
    %jmp T_17.25;
T_17.22 ;
    %load/v 8, v0xc85340_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v0xc83ca0_0, 0, 8;
    %jmp T_17.25;
T_17.23 ;
    %load/v 8, v0xc85340_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 1, 0;
    %assign/v0 v0xc845c0_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc85f50_0, 0, 9;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc86550_0, 0, 10;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc85500_0, 0, 11;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc85280_0, 0, 12;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc85040_0, 0, 13;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc84f80_0, 0, 14;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc84e00_0, 0, 15;
    %jmp T_17.25;
T_17.24 ;
    %load/v 8, v0xc85340_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v0xc83ec0_0, 0, 8;
    %jmp T_17.25;
T_17.25 ;
T_17.13 ;
    %load/v 8, v0xc86230_0, 1;
    %jmp/0xz  T_17.26, 8;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_17.28, 4;
    %load/x1p 8, v0xc84d40_0, 2;
    %jmp T_17.29;
T_17.28 ;
    %mov 8, 2, 2;
T_17.29 ;
; Save base=8 wid=2 in lookaside.
    %cmpi/u 8, 0, 2;
    %jmp/1 T_17.30, 6;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_17.31, 6;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_17.32, 6;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_17.33, 6;
    %jmp T_17.34;
T_17.30 ;
    %load/v 8, v0xc84bc0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc83ff0_0, 0, 8;
    %jmp T_17.34;
T_17.31 ;
    %load/v 8, v0xc84bc0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc840d0_0, 0, 8;
    %jmp T_17.34;
T_17.32 ;
    %load/v 8, v0xc84bc0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc84400_0, 0, 8;
    %jmp T_17.34;
T_17.33 ;
    %load/v 8, v0xc84bc0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc84290_0, 0, 8;
    %jmp T_17.34;
T_17.34 ;
T_17.26 ;
    %load/v 8, v0xc860b0_0, 1;
    %jmp/0xz  T_17.35, 8;
    %load/v 8, v0xc83d80_0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc845c0_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc85f50_0, 0, 9;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc86550_0, 0, 10;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc85500_0, 0, 11;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc85280_0, 0, 12;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc85040_0, 0, 13;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc84f80_0, 0, 14;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc84e00_0, 0, 15;
T_17.35 ;
    %load/v 8, v0xc85dc0_0, 1;
    %jmp/0xz  T_17.37, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc84e00_0, 0, 1;
T_17.37 ;
    %load/v 8, v0xc84680_0, 1;
    %jmp/0xz  T_17.39, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc84e00_0, 0, 0;
T_17.39 ;
    %load/v 8, v0xc86170_0, 1;
    %jmp/0xz  T_17.41, 8;
    %load/v 8, v0xc85420_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc841b0_0, 0, 8;
T_17.41 ;
    %load/v 8, v0xc85100_0, 1;
    %jmp/0xz  T_17.43, 8;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0xc841b0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc841b0_0, 0, 8;
T_17.43 ;
    %load/v 8, v0xc851c0_0, 1;
    %jmp/0xz  T_17.45, 8;
    %load/v 8, v0xc85e80_0, 1;
    %jmp/0xz  T_17.47, 8;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0xc84290_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc84290_0, 0, 8;
    %jmp T_17.48;
T_17.47 ;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0xc84400_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc84400_0, 0, 8;
T_17.48 ;
T_17.45 ;
    %load/v 8, v0xc84950_0, 1;
    %jmp/0xz  T_17.49, 8;
    %load/v 8, v0xc85e80_0, 1;
    %jmp/0xz  T_17.51, 8;
    %load/v 8, v0xc84290_0, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc84290_0, 0, 8;
    %jmp T_17.52;
T_17.51 ;
    %load/v 8, v0xc84400_0, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc84400_0, 0, 8;
T_17.52 ;
T_17.49 ;
    %jmp T_17;
    .thread T_17;
    .scope S_0xc83370;
T_18 ;
    %movi 8, 65534, 16;
    %set/v v0xc841b0_0, 8, 16;
    %set/v v0xc83ec0_0, 0, 8;
    %set/v v0xc83ff0_0, 0, 16;
    %set/v v0xc845c0_0, 0, 1;
    %set/v v0xc85f50_0, 0, 1;
    %set/v v0xc86550_0, 0, 1;
    %set/v v0xc85500_0, 0, 1;
    %set/v v0xc85280_0, 0, 1;
    %set/v v0xc85040_0, 0, 1;
    %set/v v0xc84f80_0, 0, 1;
    %set/v v0xc84e00_0, 0, 1;
    %movi 8, 42330, 16;
    %set/v v0xc840d0_0, 8, 16;
    %movi 8, 3840, 16;
    %set/v v0xc84290_0, 8, 16;
    %movi 8, 3584, 16;
    %set/v v0xc84400_0, 8, 16;
    %end;
    .thread T_18;
    .scope S_0xc81ea0;
T_19 ;
    %wait E_0xc82180;
    %set/v v0xc82c70_0, 1, 4;
    %set/v v0xc82d50_0, 1, 4;
    %set/v v0xc82860_0, 1, 4;
    %load/v 8, v0xc82a90_0, 1;
    %jmp/0xz  T_19.0, 8;
    %load/v 8, v0xc82e30_0, 8;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.2, 4;
    %movi 16, 147, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.3, 4;
    %movi 16, 163, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.4, 4;
    %movi 16, 179, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.5, 4;
    %movi 16, 140, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.6, 4;
    %movi 16, 156, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.7, 4;
    %movi 16, 172, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.8, 4;
    %movi 16, 188, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.9, 4;
    %movi 16, 142, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.10, 4;
    %movi 16, 158, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.11, 4;
    %movi 16, 174, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.12, 4;
    %movi 16, 190, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.13, 4;
    %movi 16, 143, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.14, 4;
    %movi 16, 159, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.15, 4;
    %movi 16, 175, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.16, 4;
    %movi 16, 191, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.17, 4;
    %movi 16, 223, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.18, 4;
    %movi 16, 239, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.19, 4;
    %cmp/x 8, 1, 8;
    %jmp/1 T_19.20, 4;
    %jmp T_19.21;
T_19.2 ;
    %set/v v0xc82c70_0, 0, 4;
    %jmp T_19.21;
T_19.3 ;
    %set/v v0xc82c70_0, 0, 4;
    %jmp T_19.21;
T_19.4 ;
    %set/v v0xc82c70_0, 0, 4;
    %jmp T_19.21;
T_19.5 ;
    %set/v v0xc82c70_0, 0, 4;
    %jmp T_19.21;
T_19.6 ;
    %movi 8, 2, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.7 ;
    %movi 8, 2, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.8 ;
    %movi 8, 2, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.9 ;
    %movi 8, 2, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.10 ;
    %movi 8, 2, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.11 ;
    %movi 8, 2, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.12 ;
    %movi 8, 2, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.13 ;
    %movi 8, 2, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.14 ;
    %movi 8, 2, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.15 ;
    %movi 8, 2, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.16 ;
    %movi 8, 2, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.17 ;
    %movi 8, 2, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.18 ;
    %movi 8, 4, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.19 ;
    %movi 8, 4, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.20 ;
    %movi 8, 4, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.21;
T_19.21 ;
    %load/v 8, v0xc82e30_0, 8;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.22, 4;
    %movi 16, 140, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.23, 4;
    %movi 16, 142, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.24, 4;
    %movi 16, 206, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.25, 4;
    %movi 16, 147, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.26, 4;
    %movi 16, 163, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.27, 4;
    %movi 16, 179, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.28, 4;
    %movi 16, 156, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.29, 4;
    %movi 16, 172, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.30, 4;
    %movi 16, 188, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.31, 4;
    %movi 16, 158, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.32, 4;
    %movi 16, 174, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.33, 4;
    %movi 16, 190, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.34, 4;
    %movi 16, 159, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.35, 4;
    %movi 16, 175, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.36, 4;
    %movi 16, 191, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.37, 4;
    %movi 16, 222, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.38, 4;
    %movi 16, 238, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.39, 4;
    %movi 16, 254, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.40, 4;
    %jmp T_19.41;
T_19.22 ;
    %movi 8, 7, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.23 ;
    %movi 8, 7, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.24 ;
    %movi 8, 7, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.25 ;
    %movi 8, 7, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.26 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.27 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.28 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.29 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.30 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.31 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.32 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.33 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.34 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.35 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.36 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.37 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.38 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.39 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.40 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.41;
T_19.41 ;
    %load/v 8, v0xc82e30_0, 8;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.42, 4;
    %movi 16, 147, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.43, 4;
    %movi 16, 163, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.44, 4;
    %movi 16, 179, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.45, 4;
    %movi 16, 140, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.46, 4;
    %movi 16, 156, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.47, 4;
    %movi 16, 172, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.48, 4;
    %movi 16, 188, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.49, 4;
    %movi 16, 142, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.50, 4;
    %movi 16, 158, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.51, 4;
    %movi 16, 174, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.52, 4;
    %movi 16, 190, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.53, 4;
    %movi 16, 206, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.54, 4;
    %movi 16, 222, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.55, 4;
    %movi 16, 238, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.56, 4;
    %movi 16, 254, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.57, 4;
    %movi 16, 143, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.58, 4;
    %movi 16, 159, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.59, 4;
    %movi 16, 175, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.60, 4;
    %movi 16, 191, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.61, 4;
    %movi 16, 159, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.62, 4;
    %movi 16, 175, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.63, 4;
    %movi 16, 191, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.64, 4;
    %jmp T_19.65;
T_19.42 ;
    %jmp T_19.65;
T_19.43 ;
    %jmp T_19.65;
T_19.44 ;
    %jmp T_19.65;
T_19.45 ;
    %jmp T_19.65;
T_19.46 ;
    %jmp T_19.65;
T_19.47 ;
    %jmp T_19.65;
T_19.48 ;
    %jmp T_19.65;
T_19.49 ;
    %jmp T_19.65;
T_19.50 ;
    %movi 8, 2, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.51 ;
    %movi 8, 2, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.52 ;
    %movi 8, 2, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.53 ;
    %movi 8, 2, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.54 ;
    %movi 8, 4, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.55 ;
    %movi 8, 4, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.56 ;
    %movi 8, 4, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.57 ;
    %movi 8, 4, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.58 ;
    %movi 8, 6, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.59 ;
    %movi 8, 6, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.60 ;
    %movi 8, 6, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.61 ;
    %movi 8, 6, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.62 ;
    %movi 8, 6, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.63 ;
    %movi 8, 6, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.64 ;
    %movi 8, 6, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.65;
T_19.65 ;
T_19.0 ;
    %load/v 8, v0xc82b80_0, 1;
    %jmp/0xz  T_19.66, 8;
    %load/v 8, v0xc82e30_0, 8;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.68, 4;
    %movi 16, 147, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.69, 4;
    %movi 16, 163, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.70, 4;
    %movi 16, 179, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.71, 4;
    %movi 16, 140, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.72, 4;
    %movi 16, 156, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.73, 4;
    %movi 16, 172, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.74, 4;
    %movi 16, 188, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.75, 4;
    %jmp T_19.76;
T_19.68 ;
    %movi 8, 3, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.76;
T_19.69 ;
    %movi 8, 3, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.76;
T_19.70 ;
    %movi 8, 3, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.76;
T_19.71 ;
    %movi 8, 3, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.76;
T_19.72 ;
    %movi 8, 4, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.76;
T_19.73 ;
    %movi 8, 4, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.76;
T_19.74 ;
    %movi 8, 4, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.76;
T_19.75 ;
    %movi 8, 4, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.76;
T_19.76 ;
    %load/v 8, v0xc82e30_0, 8;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.77, 4;
    %movi 16, 140, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.78, 4;
    %movi 16, 147, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.79, 4;
    %movi 16, 163, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.80, 4;
    %movi 16, 179, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.81, 4;
    %movi 16, 156, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.82, 4;
    %movi 16, 172, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.83, 4;
    %movi 16, 188, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.84, 4;
    %jmp T_19.85;
T_19.77 ;
    %movi 8, 7, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.85;
T_19.78 ;
    %movi 8, 7, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.85;
T_19.79 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.85;
T_19.80 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.85;
T_19.81 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.85;
T_19.82 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.85;
T_19.83 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.85;
T_19.84 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.85;
T_19.85 ;
    %load/v 8, v0xc82e30_0, 8;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.86, 4;
    %movi 16, 147, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.87, 4;
    %movi 16, 163, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.88, 4;
    %movi 16, 179, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.89, 4;
    %movi 16, 140, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.90, 4;
    %movi 16, 156, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.91, 4;
    %movi 16, 172, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.92, 4;
    %movi 16, 188, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.93, 4;
    %jmp T_19.94;
T_19.86 ;
    %jmp T_19.94;
T_19.87 ;
    %jmp T_19.94;
T_19.88 ;
    %jmp T_19.94;
T_19.89 ;
    %jmp T_19.94;
T_19.90 ;
    %jmp T_19.94;
T_19.91 ;
    %jmp T_19.94;
T_19.92 ;
    %jmp T_19.94;
T_19.93 ;
    %jmp T_19.94;
T_19.94 ;
T_19.66 ;
    %load/v 8, v0xc82940_0, 8;
    %movi 16, 30, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.95, 4;
    %movi 16, 31, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.96, 4;
    %movi 16, 48, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.97, 4;
    %movi 16, 49, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.98, 4;
    %movi 16, 50, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.99, 4;
    %movi 16, 51, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.100, 4;
    %movi 16, 57, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.101, 4;
    %movi 16, 61, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.102, 4;
    %mov 16, 2, 4;
    %movi 20, 4, 4;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.103, 4;
    %mov 24, 2, 4;
    %movi 28, 5, 4;
    %cmp/x 8, 24, 8;
    %jmp/1 T_19.104, 4;
    %mov 32, 2, 4;
    %movi 36, 0, 4;
    %cmp/x 8, 32, 8;
    %jmp/1 T_19.105, 4;
    %mov 40, 2, 4;
    %movi 44, 7, 4;
    %cmp/x 8, 40, 8;
    %jmp/1 T_19.106, 4;
    %mov 48, 2, 4;
    %movi 52, 6, 4;
    %cmp/x 8, 48, 8;
    %jmp/1 T_19.107, 4;
    %mov 56, 2, 4;
    %movi 60, 4, 4;
    %cmp/x 8, 56, 8;
    %jmp/1 T_19.108, 4;
    %mov 64, 2, 4;
    %movi 68, 8, 4;
    %cmp/x 8, 64, 8;
    %jmp/1 T_19.109, 4;
    %mov 72, 2, 4;
    %movi 76, 9, 4;
    %cmp/x 8, 72, 8;
    %jmp/1 T_19.110, 4;
    %mov 80, 2, 4;
    %movi 84, 10, 4;
    %cmp/x 8, 80, 8;
    %jmp/1 T_19.111, 4;
    %mov 88, 2, 4;
    %movi 92, 11, 4;
    %cmp/x 8, 88, 8;
    %jmp/1 T_19.112, 4;
    %mov 96, 2, 4;
    %movi 100, 5, 4;
    %cmp/x 8, 96, 8;
    %jmp/1 T_19.113, 4;
    %mov 104, 2, 4;
    %movi 108, 12, 4;
    %cmp/x 8, 104, 8;
    %jmp/1 T_19.114, 4;
    %mov 112, 2, 4;
    %movi 116, 13, 4;
    %cmp/x 8, 112, 8;
    %jmp/1 T_19.115, 4;
    %mov 120, 2, 4;
    %movi 124, 14, 4;
    %cmp/x 8, 120, 8;
    %jmp/1 T_19.116, 4;
    %mov 128, 2, 4;
    %movi 132, 15, 4;
    %cmp/x 8, 128, 8;
    %jmp/1 T_19.117, 4;
    %jmp T_19.118;
T_19.95 ;
    %load/v 8, v0xc82e30_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %set/v v0xc82860_0, 8, 4;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_19.119, 4;
    %load/x1p 8, v0xc82e30_0, 4;
    %jmp T_19.120;
T_19.119 ;
    %mov 8, 2, 4;
T_19.120 ;
; Save base=8 wid=4 in lookaside.
    %set/v v0xc82c70_0, 8, 4;
    %load/v 8, v0xc82e30_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.118;
T_19.96 ;
    %load/v 8, v0xc82e30_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %set/v v0xc82860_0, 8, 4;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_19.121, 4;
    %load/x1p 8, v0xc82e30_0, 4;
    %jmp T_19.122;
T_19.121 ;
    %mov 8, 2, 4;
T_19.122 ;
; Save base=8 wid=4 in lookaside.
    %set/v v0xc82c70_0, 8, 4;
    %load/v 8, v0xc82e30_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.118;
T_19.97 ;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.118;
T_19.98 ;
    %movi 8, 2, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.118;
T_19.99 ;
    %movi 8, 4, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.118;
T_19.100 ;
    %movi 8, 3, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.118;
T_19.101 ;
    %movi 8, 5, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.118;
T_19.102 ;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v0xc82d50_0, 8, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.118;
T_19.103 ;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.118;
T_19.104 ;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.118;
T_19.105 ;
    %movi 8, 12, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.118;
T_19.106 ;
    %movi 8, 12, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.118;
T_19.107 ;
    %load/v 8, v0xc82940_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 15, 4;
    %jmp/1 T_19.123, 6;
    %movi 8, 12, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.125;
T_19.123 ;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.125;
T_19.125 ;
    %jmp T_19.118;
T_19.108 ;
    %load/v 8, v0xc82940_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %jmp/1 T_19.126, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_19.127, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_19.128, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_19.129, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_19.130, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_19.131, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_19.132, 6;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.134;
T_19.126 ;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.134;
T_19.127 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.134;
T_19.128 ;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.134;
T_19.129 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.134;
T_19.130 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.134;
T_19.131 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.134;
T_19.132 ;
    %jmp T_19.134;
T_19.134 ;
    %jmp T_19.118;
T_19.109 ;
    %load/v 8, v0xc82940_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %jmp/1 T_19.135, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_19.136, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_19.137, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_19.138, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_19.139, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_19.140, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_19.141, 6;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.143;
T_19.135 ;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.143;
T_19.136 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.143;
T_19.137 ;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.143;
T_19.138 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.143;
T_19.139 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.143;
T_19.140 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.143;
T_19.141 ;
    %jmp T_19.143;
T_19.143 ;
    %jmp T_19.118;
T_19.110 ;
    %load/v 8, v0xc82940_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %jmp/1 T_19.144, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_19.145, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_19.146, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_19.147, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_19.148, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_19.149, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_19.150, 6;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.152;
T_19.144 ;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.152;
T_19.145 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.152;
T_19.146 ;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.152;
T_19.147 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.152;
T_19.148 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.152;
T_19.149 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.152;
T_19.150 ;
    %jmp T_19.152;
T_19.152 ;
    %jmp T_19.118;
T_19.111 ;
    %load/v 8, v0xc82940_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %jmp/1 T_19.153, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_19.154, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_19.155, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_19.156, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_19.157, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_19.158, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_19.159, 6;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.161;
T_19.153 ;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.161;
T_19.154 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.161;
T_19.155 ;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.161;
T_19.156 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.161;
T_19.157 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.161;
T_19.158 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.161;
T_19.159 ;
    %jmp T_19.161;
T_19.161 ;
    %jmp T_19.118;
T_19.112 ;
    %load/v 8, v0xc82940_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %jmp/1 T_19.162, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_19.163, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_19.164, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_19.165, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_19.166, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_19.167, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_19.168, 6;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.170;
T_19.162 ;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.170;
T_19.163 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.170;
T_19.164 ;
    %movi 8, 8, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.170;
T_19.165 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.170;
T_19.166 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.170;
T_19.167 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.170;
T_19.168 ;
    %jmp T_19.170;
T_19.170 ;
    %jmp T_19.118;
T_19.113 ;
    %load/v 8, v0xc82940_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %jmp/1 T_19.171, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_19.172, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_19.173, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_19.174, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_19.175, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_19.176, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_19.177, 6;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.179;
T_19.171 ;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.179;
T_19.172 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.179;
T_19.173 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.179;
T_19.174 ;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.179;
T_19.175 ;
    %movi 8, 3, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.179;
T_19.176 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.179;
T_19.177 ;
    %set/v v0xc82c70_0, 0, 4;
    %jmp T_19.179;
T_19.179 ;
    %jmp T_19.118;
T_19.114 ;
    %load/v 8, v0xc82940_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %jmp/1 T_19.180, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_19.181, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_19.182, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_19.183, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_19.184, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_19.185, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_19.186, 6;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.188;
T_19.180 ;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.188;
T_19.181 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.188;
T_19.182 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.188;
T_19.183 ;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.188;
T_19.184 ;
    %movi 8, 3, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.188;
T_19.185 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.188;
T_19.186 ;
    %set/v v0xc82c70_0, 0, 4;
    %jmp T_19.188;
T_19.188 ;
    %jmp T_19.118;
T_19.115 ;
    %load/v 8, v0xc82940_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %jmp/1 T_19.189, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_19.190, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_19.191, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_19.192, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_19.193, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_19.194, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_19.195, 6;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.197;
T_19.189 ;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.197;
T_19.190 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.197;
T_19.191 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.197;
T_19.192 ;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.197;
T_19.193 ;
    %movi 8, 3, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.197;
T_19.194 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.197;
T_19.195 ;
    %set/v v0xc82c70_0, 0, 4;
    %jmp T_19.197;
T_19.197 ;
    %jmp T_19.118;
T_19.116 ;
    %load/v 8, v0xc82940_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %jmp/1 T_19.198, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_19.199, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_19.200, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_19.201, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_19.202, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_19.203, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_19.204, 6;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.206;
T_19.198 ;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.206;
T_19.199 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.206;
T_19.200 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.206;
T_19.201 ;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.206;
T_19.202 ;
    %movi 8, 3, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.206;
T_19.203 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.206;
T_19.204 ;
    %set/v v0xc82c70_0, 0, 4;
    %jmp T_19.206;
T_19.206 ;
    %jmp T_19.118;
T_19.117 ;
    %load/v 8, v0xc82940_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %jmp/1 T_19.207, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_19.208, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_19.209, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_19.210, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_19.211, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_19.212, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_19.213, 6;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.215;
T_19.207 ;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %jmp T_19.215;
T_19.208 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.215;
T_19.209 ;
    %set/v v0xc82c70_0, 0, 4;
    %set/v v0xc82860_0, 0, 4;
    %jmp T_19.215;
T_19.210 ;
    %movi 8, 9, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 12, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.215;
T_19.211 ;
    %movi 8, 3, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.215;
T_19.212 ;
    %movi 8, 1, 4;
    %set/v v0xc82c70_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v0xc82860_0, 8, 4;
    %jmp T_19.215;
T_19.213 ;
    %set/v v0xc82c70_0, 0, 4;
    %jmp T_19.215;
T_19.215 ;
    %jmp T_19.118;
T_19.118 ;
    %load/v 8, v0xc82940_0, 8;
    %mov 16, 2, 1;
    %movi 17, 0, 5;
    %mov 22, 2, 1;
    %movi 23, 1, 1;
    %cmp/x 8, 16, 8;
    %jmp/1 T_19.216, 4;
    %movi 24, 2, 6;
    %mov 30, 2, 1;
    %movi 31, 1, 1;
    %cmp/x 8, 24, 8;
    %jmp/1 T_19.217, 4;
    %movi 32, 3, 6;
    %mov 38, 2, 1;
    %movi 39, 1, 1;
    %cmp/x 8, 32, 8;
    %jmp/1 T_19.218, 4;
    %movi 40, 0, 1;
    %mov 41, 2, 1;
    %movi 42, 3, 4;
    %mov 46, 2, 1;
    %movi 47, 1, 1;
    %cmp/x 8, 40, 8;
    %jmp/1 T_19.219, 4;
    %movi 48, 15, 6;
    %mov 54, 2, 1;
    %movi 55, 1, 1;
    %cmp/x 8, 48, 8;
    %jmp/1 T_19.220, 4;
    %mov 56, 2, 1;
    %movi 57, 2, 5;
    %mov 62, 2, 1;
    %movi 63, 1, 1;
    %cmp/x 8, 56, 8;
    %jmp/1 T_19.221, 4;
    %movi 64, 6, 6;
    %mov 70, 2, 1;
    %movi 71, 1, 1;
    %cmp/x 8, 64, 8;
    %jmp/1 T_19.222, 4;
    %mov 72, 2, 2;
    %movi 74, 2, 4;
    %mov 78, 2, 1;
    %movi 79, 1, 1;
    %cmp/x 8, 72, 8;
    %jmp/1 T_19.223, 4;
    %mov 80, 2, 1;
    %movi 81, 8, 5;
    %mov 86, 2, 1;
    %movi 87, 1, 1;
    %cmp/x 8, 80, 8;
    %jmp/1 T_19.224, 4;
    %movi 88, 18, 6;
    %mov 94, 2, 1;
    %movi 95, 1, 1;
    %cmp/x 8, 88, 8;
    %jmp/1 T_19.225, 4;
    %movi 96, 19, 6;
    %mov 102, 2, 1;
    %movi 103, 1, 1;
    %cmp/x 8, 96, 8;
    %jmp/1 T_19.226, 4;
    %movi 104, 0, 1;
    %mov 105, 2, 1;
    %movi 106, 7, 4;
    %mov 110, 2, 1;
    %movi 111, 1, 1;
    %cmp/x 8, 104, 8;
    %jmp/1 T_19.227, 4;
    %movi 112, 31, 6;
    %mov 118, 2, 1;
    %movi 119, 1, 1;
    %cmp/x 8, 112, 8;
    %jmp/1 T_19.228, 4;
    %mov 120, 2, 1;
    %movi 121, 10, 5;
    %mov 126, 2, 1;
    %movi 127, 1, 1;
    %cmp/x 8, 120, 8;
    %jmp/1 T_19.229, 4;
    %movi 128, 22, 6;
    %mov 134, 2, 1;
    %movi 135, 1, 1;
    %cmp/x 8, 128, 8;
    %jmp/1 T_19.230, 4;
    %mov 136, 2, 2;
    %movi 138, 6, 4;
    %mov 142, 2, 1;
    %movi 143, 1, 1;
    %cmp/x 8, 136, 8;
    %jmp/1 T_19.231, 4;
    %mov 144, 2, 1;
    %movi 145, 0, 3;
    %mov 148, 2, 1;
    %movi 149, 1, 1;
    %mov 150, 2, 1;
    %movi 151, 1, 1;
    %cmp/x 8, 144, 8;
    %jmp/1 T_19.232, 4;
    %movi 152, 2, 4;
    %mov 156, 2, 1;
    %movi 157, 1, 1;
    %mov 158, 2, 1;
    %movi 159, 1, 1;
    %cmp/x 8, 152, 8;
    %jmp/1 T_19.233, 4;
    %movi 160, 3, 4;
    %mov 164, 2, 1;
    %movi 165, 1, 1;
    %mov 166, 2, 1;
    %movi 167, 1, 1;
    %cmp/x 8, 160, 8;
    %jmp/1 T_19.234, 4;
    %movi 168, 0, 1;
    %mov 169, 2, 1;
    %movi 170, 3, 2;
    %mov 172, 2, 1;
    %movi 173, 1, 1;
    %mov 174, 2, 1;
    %movi 175, 1, 1;
    %cmp/x 8, 168, 8;
    %jmp/1 T_19.235, 4;
    %movi 176, 15, 4;
    %mov 180, 2, 1;
    %movi 181, 1, 1;
    %mov 182, 2, 1;
    %movi 183, 1, 1;
    %cmp/x 8, 176, 8;
    %jmp/1 T_19.236, 4;
    %mov 184, 2, 1;
    %movi 185, 2, 3;
    %mov 188, 2, 1;
    %movi 189, 1, 1;
    %mov 190, 2, 1;
    %movi 191, 1, 1;
    %cmp/x 8, 184, 8;
    %jmp/1 T_19.237, 4;
    %movi 192, 6, 4;
    %mov 196, 2, 1;
    %movi 197, 1, 1;
    %mov 198, 2, 1;
    %movi 199, 1, 1;
    %cmp/x 8, 192, 8;
    %jmp/1 T_19.238, 4;
    %mov 200, 2, 2;
    %movi 202, 2, 2;
    %mov 204, 2, 1;
    %movi 205, 1, 1;
    %mov 206, 2, 1;
    %movi 207, 1, 1;
    %cmp/x 8, 200, 8;
    %jmp/1 T_19.239, 4;
    %jmp T_19.240;
T_19.216 ;
    %movi 8, 13, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.217 ;
    %movi 8, 13, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.218 ;
    %movi 8, 7, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.219 ;
    %movi 8, 7, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.220 ;
    %movi 8, 7, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.221 ;
    %movi 8, 13, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.222 ;
    %movi 8, 13, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.223 ;
    %movi 8, 13, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.224 ;
    %movi 8, 12, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.225 ;
    %movi 8, 12, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.226 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.227 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.228 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.229 ;
    %movi 8, 12, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.230 ;
    %movi 8, 12, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.231 ;
    %movi 8, 12, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.232 ;
    %movi 8, 12, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.233 ;
    %movi 8, 12, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.234 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.235 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.236 ;
    %movi 8, 6, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.237 ;
    %movi 8, 12, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.238 ;
    %movi 8, 12, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.239 ;
    %movi 8, 12, 4;
    %set/v v0xc82d50_0, 8, 4;
    %jmp T_19.240;
T_19.240 ;
    %jmp T_19;
    .thread T_19, $push;
    .scope S_0xc81300;
T_20 ;
    %wait E_0xc81570;
    %set/v v0xc81890_0, 0, 3;
    %set/v v0xc81c50_0, 1, 1;
    %set/v v0xc815d0_0, 0, 3;
    %set/v v0xc81bb0_0, 0, 1;
    %load/v 8, v0xc816d0_0, 8;
    %mov 16, 2, 4;
    %movi 20, 0, 4;
    %cmp/x 8, 16, 8;
    %jmp/1 T_20.0, 4;
    %movi 24, 18, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.1, 4;
    %movi 24, 19, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.2, 4;
    %movi 24, 25, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.3, 4;
    %movi 24, 20, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.4, 4;
    %movi 24, 21, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.5, 4;
    %movi 24, 24, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.6, 4;
    %movi 24, 27, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.7, 4;
    %movi 24, 22, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.8, 4;
    %movi 24, 23, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.9, 4;
    %movi 24, 26, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.10, 4;
    %movi 24, 28, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.11, 4;
    %movi 24, 29, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.12, 4;
    %movi 24, 30, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.13, 4;
    %movi 24, 31, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.14, 4;
    %mov 24, 2, 4;
    %movi 28, 2, 4;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.15, 4;
    %movi 32, 48, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.16, 4;
    %movi 32, 49, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.17, 4;
    %movi 32, 50, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.18, 4;
    %movi 32, 51, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.19, 4;
    %movi 32, 52, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.20, 4;
    %movi 32, 53, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.21, 4;
    %movi 32, 54, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.22, 4;
    %movi 32, 55, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.23, 4;
    %movi 32, 56, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.24, 4;
    %movi 32, 62, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.25, 4;
    %movi 32, 57, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.26, 4;
    %movi 32, 59, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.27, 4;
    %movi 32, 58, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.28, 4;
    %movi 32, 60, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.29, 4;
    %movi 32, 61, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.30, 4;
    %movi 32, 63, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.31, 4;
    %mov 32, 2, 4;
    %movi 36, 4, 4;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.32, 4;
    %mov 40, 2, 4;
    %movi 44, 5, 4;
    %cmp/x 8, 40, 8;
    %jmp/1 T_20.33, 4;
    %mov 48, 2, 4;
    %movi 52, 6, 4;
    %cmp/x 8, 48, 8;
    %jmp/1 T_20.34, 4;
    %mov 56, 2, 4;
    %movi 60, 7, 4;
    %cmp/x 8, 56, 8;
    %jmp/1 T_20.35, 4;
    %mov 64, 2, 4;
    %movi 68, 8, 4;
    %cmp/x 8, 64, 8;
    %jmp/1 T_20.36, 4;
    %mov 72, 2, 4;
    %movi 76, 12, 4;
    %cmp/x 8, 72, 8;
    %jmp/1 T_20.37, 4;
    %mov 80, 2, 4;
    %movi 84, 9, 4;
    %cmp/x 8, 80, 8;
    %jmp/1 T_20.38, 4;
    %mov 88, 2, 4;
    %movi 92, 13, 4;
    %cmp/x 8, 88, 8;
    %jmp/1 T_20.39, 4;
    %mov 96, 2, 4;
    %movi 100, 10, 4;
    %cmp/x 8, 96, 8;
    %jmp/1 T_20.40, 4;
    %mov 104, 2, 4;
    %movi 108, 14, 4;
    %cmp/x 8, 104, 8;
    %jmp/1 T_20.41, 4;
    %mov 112, 2, 4;
    %movi 116, 11, 4;
    %cmp/x 8, 112, 8;
    %jmp/1 T_20.42, 4;
    %mov 120, 2, 4;
    %movi 124, 15, 4;
    %cmp/x 8, 120, 8;
    %jmp/1 T_20.43, 4;
    %jmp T_20.44;
T_20.0 ;
    %movi 8, 3, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.1 ;
    %movi 8, 2, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.2 ;
    %movi 8, 2, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.3 ;
    %movi 8, 2, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.4 ;
    %set/v v0xc815d0_0, 0, 3;
    %jmp T_20.44;
T_20.5 ;
    %set/v v0xc815d0_0, 0, 3;
    %jmp T_20.44;
T_20.6 ;
    %set/v v0xc815d0_0, 0, 3;
    %jmp T_20.44;
T_20.7 ;
    %set/v v0xc815d0_0, 0, 3;
    %jmp T_20.44;
T_20.8 ;
    %set/v v0xc815d0_0, 1, 3;
    %jmp T_20.44;
T_20.9 ;
    %set/v v0xc815d0_0, 1, 3;
    %movi 8, 4, 3;
    %set/v v0xc81890_0, 8, 3;
    %jmp T_20.44;
T_20.10 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.11 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.12 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.13 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.14 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.15 ;
    %movi 8, 6, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.16 ;
    %movi 8, 4, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81890_0, 1, 3;
    %jmp T_20.44;
T_20.17 ;
    %movi 8, 4, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81890_0, 1, 3;
    %jmp T_20.44;
T_20.18 ;
    %movi 8, 4, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81890_0, 1, 3;
    %jmp T_20.44;
T_20.19 ;
    %movi 8, 4, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81890_0, 1, 3;
    %jmp T_20.44;
T_20.20 ;
    %movi 8, 1, 3;
    %set/v v0xc81890_0, 8, 3;
    %set/v v0xc815d0_0, 0, 3;
    %jmp T_20.44;
T_20.21 ;
    %movi 8, 2, 3;
    %set/v v0xc81890_0, 8, 3;
    %set/v v0xc815d0_0, 0, 3;
    %jmp T_20.44;
T_20.22 ;
    %movi 8, 1, 3;
    %set/v v0xc81890_0, 8, 3;
    %set/v v0xc815d0_0, 0, 3;
    %set/v v0xc81c50_0, 0, 1;
    %jmp T_20.44;
T_20.23 ;
    %movi 8, 2, 3;
    %set/v v0xc81890_0, 8, 3;
    %set/v v0xc815d0_0, 0, 3;
    %set/v v0xc81c50_0, 0, 1;
    %jmp T_20.44;
T_20.24 ;
    %set/v v0xc815d0_0, 0, 3;
    %jmp T_20.44;
T_20.25 ;
    %set/v v0xc815d0_0, 0, 3;
    %jmp T_20.44;
T_20.26 ;
    %set/v v0xc815d0_0, 0, 3;
    %movi 8, 3, 3;
    %set/v v0xc81890_0, 8, 3;
    %jmp T_20.44;
T_20.27 ;
    %set/v v0xc815d0_0, 0, 3;
    %movi 8, 3, 3;
    %set/v v0xc81890_0, 8, 3;
    %jmp T_20.44;
T_20.28 ;
    %movi 8, 2, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.29 ;
    %movi 8, 2, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.30 ;
    %movi 8, 2, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.31 ;
    %movi 8, 2, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.32 ;
    %movi 8, 2, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.33 ;
    %movi 8, 2, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.34 ;
    %movi 8, 4, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.35 ;
    %movi 8, 5, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.36 ;
    %load/v 8, v0xc817c0_0, 4;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_20.45, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_20.46, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_20.47, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_20.48, 6;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.50;
T_20.45 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.50;
T_20.46 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.50;
T_20.47 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.50;
T_20.48 ;
    %movi 8, 6, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.50;
T_20.50 ;
    %jmp T_20.44;
T_20.37 ;
    %load/v 8, v0xc817c0_0, 4;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_20.51, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_20.52, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_20.53, 6;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.55;
T_20.51 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.55;
T_20.52 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.55;
T_20.53 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.55;
T_20.55 ;
    %jmp T_20.44;
T_20.38 ;
    %movi 8, 3, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.39 ;
    %movi 8, 3, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.40 ;
    %movi 8, 4, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.41 ;
    %movi 8, 4, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.42 ;
    %movi 8, 5, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.43 ;
    %movi 8, 5, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.44;
T_20.44 ;
    %load/v 8, v0xc816d0_0, 8;
    %movi 16, 6, 4;
    %mov 20, 2, 3;
    %movi 23, 1, 1;
    %cmp/x 8, 16, 8;
    %jmp/1 T_20.56, 4;
    %movi 24, 7, 4;
    %mov 28, 2, 3;
    %movi 31, 1, 1;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.57, 4;
    %movi 32, 12, 4;
    %mov 36, 2, 2;
    %movi 38, 3, 2;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.58, 4;
    %movi 40, 13, 4;
    %mov 44, 2, 2;
    %movi 46, 2, 2;
    %cmp/x 8, 40, 8;
    %jmp/1 T_20.59, 4;
    %movi 48, 14, 4;
    %mov 52, 2, 3;
    %movi 55, 1, 1;
    %cmp/x 8, 48, 8;
    %jmp/1 T_20.60, 4;
    %movi 56, 15, 4;
    %mov 60, 2, 3;
    %movi 63, 1, 1;
    %cmp/x 8, 56, 8;
    %jmp/1 T_20.61, 4;
    %movi 64, 13, 4;
    %mov 68, 2, 3;
    %movi 71, 1, 1;
    %cmp/x 8, 64, 8;
    %jmp/1 T_20.62, 4;
    %jmp T_20.63;
T_20.56 ;
    %movi 8, 6, 3;
    %set/v v0xc81890_0, 8, 3;
    %jmp T_20.63;
T_20.57 ;
    %movi 8, 5, 3;
    %set/v v0xc81890_0, 8, 3;
    %jmp T_20.63;
T_20.58 ;
    %movi 8, 6, 3;
    %set/v v0xc81890_0, 8, 3;
    %jmp T_20.63;
T_20.59 ;
    %movi 8, 4, 3;
    %set/v v0xc81890_0, 8, 3;
    %jmp T_20.63;
T_20.60 ;
    %movi 8, 6, 3;
    %set/v v0xc81890_0, 8, 3;
    %jmp T_20.63;
T_20.61 ;
    %movi 8, 5, 3;
    %set/v v0xc81890_0, 8, 3;
    %jmp T_20.63;
T_20.62 ;
    %movi 8, 5, 3;
    %set/v v0xc81890_0, 8, 3;
    %jmp T_20.63;
T_20.63 ;
    %load/v 8, v0xc81970_0, 1;
    %jmp/0xz  T_20.64, 8;
    %load/v 8, v0xc81ae0_0, 8;
    %mov 16, 2, 4;
    %movi 20, 1, 4;
    %cmp/x 8, 16, 8;
    %jmp/1 T_20.66, 4;
    %movi 24, 47, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.67, 4;
    %movi 24, 131, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.68, 4;
    %movi 24, 140, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.69, 4;
    %movi 24, 142, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.70, 4;
    %movi 24, 206, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.71, 4;
    %jmp T_20.72;
T_20.66 ;
    %set/v v0xc815d0_0, 1, 3;
    %jmp T_20.72;
T_20.67 ;
    %movi 8, 2, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.72;
T_20.68 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.72;
T_20.69 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.72;
T_20.70 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.72;
T_20.71 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.72;
T_20.72 ;
    %load/v 8, v0xc81ae0_0, 8;
    %mov 16, 2, 4;
    %movi 20, 9, 4;
    %cmp/x 8, 16, 8;
    %jmp/1 T_20.73, 4;
    %mov 24, 2, 4;
    %movi 28, 13, 4;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.74, 4;
    %mov 32, 2, 4;
    %movi 36, 10, 4;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.75, 4;
    %mov 40, 2, 4;
    %movi 44, 14, 4;
    %cmp/x 8, 40, 8;
    %jmp/1 T_20.76, 4;
    %mov 48, 2, 4;
    %movi 52, 11, 4;
    %cmp/x 8, 48, 8;
    %jmp/1 T_20.77, 4;
    %mov 56, 2, 4;
    %movi 60, 15, 4;
    %cmp/x 8, 56, 8;
    %jmp/1 T_20.78, 4;
    %jmp T_20.79;
T_20.73 ;
    %movi 8, 3, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.79;
T_20.74 ;
    %movi 8, 3, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.79;
T_20.75 ;
    %movi 8, 4, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.79;
T_20.76 ;
    %movi 8, 4, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.79;
T_20.77 ;
    %movi 8, 5, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.79;
T_20.78 ;
    %movi 8, 5, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.79;
T_20.79 ;
    %load/v 8, v0xc81ae0_0, 8;
    %movi 16, 14, 4;
    %mov 20, 2, 3;
    %movi 23, 1, 1;
    %cmp/x 8, 16, 8;
    %jmp/1 T_20.80, 4;
    %movi 24, 15, 4;
    %mov 28, 2, 3;
    %movi 31, 1, 1;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.81, 4;
    %movi 32, 13, 4;
    %mov 36, 2, 3;
    %movi 39, 1, 1;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.82, 4;
    %jmp T_20.83;
T_20.80 ;
    %movi 8, 6, 3;
    %set/v v0xc81890_0, 8, 3;
    %jmp T_20.83;
T_20.81 ;
    %movi 8, 5, 3;
    %set/v v0xc81890_0, 8, 3;
    %jmp T_20.83;
T_20.82 ;
    %movi 8, 5, 3;
    %set/v v0xc81890_0, 8, 3;
    %jmp T_20.83;
T_20.83 ;
T_20.64 ;
    %load/v 8, v0xc81a10_0, 1;
    %jmp/0xz  T_20.84, 8;
    %load/v 8, v0xc81ae0_0, 8;
    %movi 16, 47, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_20.86, 4;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_20.87, 4;
    %movi 16, 140, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_20.88, 4;
    %movi 16, 142, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_20.89, 4;
    %movi 16, 206, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_20.90, 4;
    %jmp T_20.91;
T_20.86 ;
    %movi 8, 2, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.91;
T_20.87 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.91;
T_20.88 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.91;
T_20.89 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.91;
T_20.90 ;
    %movi 8, 1, 3;
    %set/v v0xc815d0_0, 8, 3;
    %set/v v0xc81bb0_0, 1, 1;
    %jmp T_20.91;
T_20.91 ;
    %load/v 8, v0xc81ae0_0, 8;
    %mov 16, 2, 4;
    %movi 20, 9, 4;
    %cmp/x 8, 16, 8;
    %jmp/1 T_20.92, 4;
    %mov 24, 2, 4;
    %movi 28, 13, 4;
    %cmp/x 8, 24, 8;
    %jmp/1 T_20.93, 4;
    %mov 32, 2, 4;
    %movi 36, 10, 4;
    %cmp/x 8, 32, 8;
    %jmp/1 T_20.94, 4;
    %mov 40, 2, 4;
    %movi 44, 14, 4;
    %cmp/x 8, 40, 8;
    %jmp/1 T_20.95, 4;
    %mov 48, 2, 4;
    %movi 52, 11, 4;
    %cmp/x 8, 48, 8;
    %jmp/1 T_20.96, 4;
    %mov 56, 2, 4;
    %movi 60, 15, 4;
    %cmp/x 8, 56, 8;
    %jmp/1 T_20.97, 4;
    %jmp T_20.98;
T_20.92 ;
    %movi 8, 3, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.98;
T_20.93 ;
    %movi 8, 3, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.98;
T_20.94 ;
    %movi 8, 4, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.98;
T_20.95 ;
    %movi 8, 4, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.98;
T_20.96 ;
    %movi 8, 5, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.98;
T_20.97 ;
    %movi 8, 5, 3;
    %set/v v0xc815d0_0, 8, 3;
    %jmp T_20.98;
T_20.98 ;
T_20.84 ;
    %jmp T_20;
    .thread T_20, $push;
    .scope S_0xc80530;
T_21 ;
    %wait E_0xc80790;
    %set/v v0xc80e70_0, 0, 1;
    %set/v v0xc81080_0, 0, 1;
    %set/v v0xc80f30_0, 0, 1;
    %set/v v0xc81140_0, 0, 1;
    %load/v 8, v0xc80cd0_0, 8;
    %mov 16, 2, 7;
    %movi 23, 0, 1;
    %cmp/x 8, 16, 8;
    %jmp/1 T_21.0, 4;
    %movi 24, 4, 5;
    %mov 29, 2, 2;
    %movi 31, 1, 1;
    %cmp/x 8, 24, 8;
    %jmp/1 T_21.1, 4;
    %movi 32, 8, 4;
    %mov 36, 2, 3;
    %movi 39, 1, 1;
    %cmp/x 8, 32, 8;
    %jmp/1 T_21.2, 4;
    %movi 40, 12, 4;
    %mov 44, 2, 3;
    %movi 47, 1, 1;
    %cmp/x 8, 40, 8;
    %jmp/1 T_21.3, 4;
    %movi 48, 9, 4;
    %mov 52, 2, 3;
    %movi 55, 1, 1;
    %cmp/x 8, 48, 8;
    %jmp/1 T_21.4, 4;
    %movi 56, 13, 4;
    %mov 60, 2, 3;
    %movi 63, 1, 1;
    %cmp/x 8, 56, 8;
    %jmp/1 T_21.5, 4;
    %movi 64, 31, 5;
    %mov 69, 2, 2;
    %movi 71, 1, 1;
    %cmp/x 8, 64, 8;
    %jmp/1 T_21.6, 4;
    %mov 72, 2, 2;
    %movi 74, 0, 2;
    %mov 76, 2, 3;
    %movi 79, 1, 1;
    %cmp/x 8, 72, 8;
    %jmp/1 T_21.7, 4;
    %jmp T_21.8;
T_21.0 ;
    %set/v v0xc80e70_0, 1, 1;
    %jmp T_21.8;
T_21.1 ;
    %set/v v0xc80e70_0, 1, 1;
    %jmp T_21.8;
T_21.2 ;
    %set/v v0xc81080_0, 1, 1;
    %jmp T_21.8;
T_21.3 ;
    %set/v v0xc81080_0, 1, 1;
    %jmp T_21.8;
T_21.4 ;
    %set/v v0xc80f30_0, 1, 1;
    %jmp T_21.8;
T_21.5 ;
    %set/v v0xc80f30_0, 1, 1;
    %jmp T_21.8;
T_21.6 ;
    %set/v v0xc80f30_0, 1, 1;
    %jmp T_21.8;
T_21.7 ;
    %set/v v0xc81140_0, 1, 1;
    %jmp T_21.8;
T_21.8 ;
    %jmp T_21;
    .thread T_21, $push;
    .scope S_0xc7fa60;
T_22 ;
    %wait E_0xc7fcf0;
    %set/v v0xc7fe60_0, 0, 5;
    %set/v v0xc7ff20_0, 0, 2;
    %load/v 8, v0xc800d0_0, 8;
    %movi 16, 0, 4;
    %mov 20, 2, 3;
    %movi 23, 1, 1;
    %cmp/x 8, 16, 8;
    %jmp/1 T_22.0, 4;
    %movi 24, 1, 4;
    %mov 28, 2, 3;
    %movi 31, 1, 1;
    %cmp/x 8, 24, 8;
    %jmp/1 T_22.1, 4;
    %movi 32, 2, 4;
    %mov 36, 2, 3;
    %movi 39, 1, 1;
    %cmp/x 8, 32, 8;
    %jmp/1 T_22.2, 4;
    %movi 40, 3, 4;
    %mov 44, 2, 2;
    %movi 46, 2, 2;
    %cmp/x 8, 40, 8;
    %jmp/1 T_22.3, 4;
    %movi 48, 3, 4;
    %mov 52, 2, 2;
    %movi 54, 3, 2;
    %cmp/x 8, 48, 8;
    %jmp/1 T_22.4, 4;
    %movi 56, 4, 4;
    %mov 60, 2, 3;
    %movi 63, 1, 1;
    %cmp/x 8, 56, 8;
    %jmp/1 T_22.5, 4;
    %movi 64, 5, 4;
    %mov 68, 2, 3;
    %movi 71, 1, 1;
    %cmp/x 8, 64, 8;
    %jmp/1 T_22.6, 4;
    %movi 72, 6, 4;
    %mov 76, 2, 3;
    %movi 79, 1, 1;
    %cmp/x 8, 72, 8;
    %jmp/1 T_22.7, 4;
    %movi 80, 7, 4;
    %mov 84, 2, 3;
    %movi 87, 1, 1;
    %cmp/x 8, 80, 8;
    %jmp/1 T_22.8, 4;
    %movi 88, 8, 4;
    %mov 92, 2, 3;
    %movi 95, 1, 1;
    %cmp/x 8, 88, 8;
    %jmp/1 T_22.9, 4;
    %movi 96, 9, 4;
    %mov 100, 2, 3;
    %movi 103, 1, 1;
    %cmp/x 8, 96, 8;
    %jmp/1 T_22.10, 4;
    %movi 104, 10, 4;
    %mov 108, 2, 3;
    %movi 111, 1, 1;
    %cmp/x 8, 104, 8;
    %jmp/1 T_22.11, 4;
    %movi 112, 11, 4;
    %mov 116, 2, 3;
    %movi 119, 1, 1;
    %cmp/x 8, 112, 8;
    %jmp/1 T_22.12, 4;
    %movi 120, 12, 4;
    %mov 124, 2, 2;
    %movi 126, 2, 2;
    %cmp/x 8, 120, 8;
    %jmp/1 T_22.13, 4;
    %movi 128, 12, 4;
    %mov 132, 2, 2;
    %movi 134, 3, 2;
    %cmp/x 8, 128, 8;
    %jmp/1 T_22.14, 4;
    %movi 136, 13, 4;
    %mov 140, 2, 2;
    %movi 142, 3, 2;
    %cmp/x 8, 136, 8;
    %jmp/1 T_22.15, 4;
    %movi 144, 14, 4;
    %mov 148, 2, 3;
    %movi 151, 1, 1;
    %cmp/x 8, 144, 8;
    %jmp/1 T_22.16, 4;
    %movi 152, 15, 4;
    %mov 156, 2, 3;
    %movi 159, 1, 1;
    %cmp/x 8, 152, 8;
    %jmp/1 T_22.17, 4;
    %cmp/x 8, 0, 8;
    %jmp/1 T_22.18, 4;
    %movi 160, 0, 4;
    %mov 164, 2, 2;
    %movi 166, 1, 2;
    %cmp/x 8, 160, 8;
    %jmp/1 T_22.19, 4;
    %movi 168, 3, 8;
    %cmp/x 8, 168, 8;
    %jmp/1 T_22.20, 4;
    %movi 168, 3, 4;
    %mov 172, 2, 2;
    %movi 174, 1, 2;
    %cmp/x 8, 168, 8;
    %jmp/1 T_22.21, 4;
    %movi 176, 4, 8;
    %cmp/x 8, 176, 8;
    %jmp/1 T_22.22, 4;
    %movi 176, 4, 4;
    %mov 180, 2, 2;
    %movi 182, 1, 2;
    %cmp/x 8, 176, 8;
    %jmp/1 T_22.23, 4;
    %movi 184, 6, 8;
    %cmp/x 8, 184, 8;
    %jmp/1 T_22.24, 4;
    %movi 184, 6, 4;
    %mov 188, 2, 2;
    %movi 190, 1, 2;
    %cmp/x 8, 184, 8;
    %jmp/1 T_22.25, 4;
    %movi 192, 7, 8;
    %cmp/x 8, 192, 8;
    %jmp/1 T_22.26, 4;
    %movi 192, 7, 4;
    %mov 196, 2, 2;
    %movi 198, 1, 2;
    %cmp/x 8, 192, 8;
    %jmp/1 T_22.27, 4;
    %movi 200, 8, 8;
    %cmp/x 8, 200, 8;
    %jmp/1 T_22.28, 4;
    %movi 200, 8, 4;
    %mov 204, 2, 2;
    %movi 206, 1, 2;
    %cmp/x 8, 200, 8;
    %jmp/1 T_22.29, 4;
    %movi 208, 9, 8;
    %cmp/x 8, 208, 8;
    %jmp/1 T_22.30, 4;
    %movi 208, 9, 4;
    %mov 212, 2, 2;
    %movi 214, 1, 2;
    %cmp/x 8, 208, 8;
    %jmp/1 T_22.31, 4;
    %movi 216, 10, 8;
    %cmp/x 8, 216, 8;
    %jmp/1 T_22.32, 4;
    %movi 216, 10, 4;
    %mov 220, 2, 2;
    %movi 222, 1, 2;
    %cmp/x 8, 216, 8;
    %jmp/1 T_22.33, 4;
    %movi 224, 12, 8;
    %cmp/x 8, 224, 8;
    %jmp/1 T_22.34, 4;
    %movi 224, 12, 4;
    %mov 228, 2, 2;
    %movi 230, 1, 2;
    %cmp/x 8, 224, 8;
    %jmp/1 T_22.35, 4;
    %movi 232, 13, 8;
    %cmp/x 8, 232, 8;
    %jmp/1 T_22.36, 4;
    %movi 232, 13, 4;
    %mov 236, 2, 2;
    %movi 238, 1, 2;
    %cmp/x 8, 232, 8;
    %jmp/1 T_22.37, 4;
    %movi 240, 15, 8;
    %cmp/x 8, 240, 8;
    %jmp/1 T_22.38, 4;
    %movi 240, 15, 4;
    %mov 244, 2, 2;
    %movi 246, 1, 2;
    %cmp/x 8, 240, 8;
    %jmp/1 T_22.39, 4;
    %movi 248, 25, 8;
    %cmp/x 8, 248, 8;
    %jmp/1 T_22.40, 4;
    %movi 248, 26, 8;
    %cmp/x 8, 248, 8;
    %jmp/1 T_22.41, 4;
    %movi 248, 28, 8;
    %cmp/x 8, 248, 8;
    %jmp/1 T_22.42, 4;
    %movi 248, 60, 8;
    %cmp/x 8, 248, 8;
    %jmp/1 T_22.43, 4;
    %movi 248, 29, 8;
    %cmp/x 8, 248, 8;
    %jmp/1 T_22.44, 4;
    %movi 248, 30, 8;
    %cmp/x 8, 248, 8;
    %jmp/1 T_22.45, 4;
    %mov 248, 2, 1;
    %movi 249, 24, 7;
    %cmp/x 8, 248, 8;
    %jmp/1 T_22.46, 4;
    %movi 256, 61, 8;
    %cmp/x 8, 256, 8;
    %jmp/1 T_22.47, 4;
    %jmp T_22.48;
T_22.0 ;
    %movi 8, 11, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.1 ;
    %movi 8, 1, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.2 ;
    %movi 8, 2, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.3 ;
    %movi 8, 11, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.4 ;
    %movi 8, 3, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.5 ;
    %movi 8, 4, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.6 ;
    %movi 8, 5, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.7 ;
    %movi 8, 6, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.8 ;
    %movi 8, 7, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.9 ;
    %movi 8, 8, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.10 ;
    %movi 8, 9, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.11 ;
    %movi 8, 10, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.12 ;
    %movi 8, 3, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.13 ;
    %movi 8, 1, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.14 ;
    %movi 8, 6, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.15 ;
    %movi 8, 6, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.16 ;
    %movi 8, 6, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.17 ;
    %movi 8, 7, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.18 ;
    %movi 8, 16, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.19 ;
    %movi 8, 16, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.20 ;
    %movi 8, 17, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.21 ;
    %movi 8, 17, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.22 ;
    %movi 8, 18, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.23 ;
    %movi 8, 18, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.24 ;
    %movi 8, 19, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.25 ;
    %movi 8, 19, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.26 ;
    %movi 8, 20, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.27 ;
    %movi 8, 20, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.28 ;
    %movi 8, 21, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.29 ;
    %movi 8, 21, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.30 ;
    %movi 8, 22, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.31 ;
    %movi 8, 22, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.32 ;
    %movi 8, 11, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %set/v v0xc7ff20_0, 1, 2;
    %jmp T_22.48;
T_22.33 ;
    %movi 8, 11, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %set/v v0xc7ff20_0, 1, 2;
    %jmp T_22.48;
T_22.34 ;
    %movi 8, 3, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %movi 8, 1, 2;
    %set/v v0xc7ff20_0, 8, 2;
    %jmp T_22.48;
T_22.35 ;
    %movi 8, 3, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %movi 8, 1, 2;
    %set/v v0xc7ff20_0, 8, 2;
    %jmp T_22.48;
T_22.36 ;
    %movi 8, 4, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.37 ;
    %movi 8, 4, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.38 ;
    %movi 8, 6, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %movi 8, 2, 2;
    %set/v v0xc7ff20_0, 8, 2;
    %jmp T_22.48;
T_22.39 ;
    %movi 8, 6, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %movi 8, 2, 2;
    %set/v v0xc7ff20_0, 8, 2;
    %jmp T_22.48;
T_22.40 ;
    %movi 8, 25, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.41 ;
    %movi 8, 23, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.42 ;
    %movi 8, 24, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.43 ;
    %movi 8, 24, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.44 ;
    %movi 8, 14, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.45 ;
    %movi 8, 15, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.46 ;
    %movi 8, 28, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.47 ;
    %movi 8, 26, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.48;
T_22.48 ;
    %load/v 8, v0xc801b0_0, 1;
    %jmp/0xz  T_22.49, 8;
    %load/v 8, v0xc80330_0, 8;
    %movi 16, 3, 4;
    %mov 20, 2, 2;
    %movi 22, 2, 2;
    %cmp/x 8, 16, 8;
    %jmp/1 T_22.51, 4;
    %movi 24, 10, 4;
    %mov 28, 2, 2;
    %movi 30, 2, 2;
    %cmp/x 8, 24, 8;
    %jmp/1 T_22.52, 4;
    %movi 32, 14, 4;
    %mov 36, 2, 3;
    %movi 39, 1, 1;
    %cmp/x 8, 32, 8;
    %jmp/1 T_22.53, 4;
    %movi 40, 15, 4;
    %mov 44, 2, 3;
    %movi 47, 1, 1;
    %cmp/x 8, 40, 8;
    %jmp/1 T_22.54, 4;
    %jmp T_22.55;
T_22.51 ;
    %movi 8, 1, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.55;
T_22.52 ;
    %movi 8, 1, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.55;
T_22.53 ;
    %movi 8, 6, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.55;
T_22.54 ;
    %movi 8, 7, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.55;
T_22.55 ;
T_22.49 ;
    %load/v 8, v0xc80270_0, 1;
    %jmp/0xz  T_22.56, 8;
    %load/v 8, v0xc80330_0, 8;
    %movi 16, 3, 4;
    %mov 20, 2, 2;
    %movi 22, 2, 2;
    %cmp/x 8, 16, 8;
    %jmp/1 T_22.58, 4;
    %movi 24, 10, 4;
    %mov 28, 2, 2;
    %movi 30, 2, 2;
    %cmp/x 8, 24, 8;
    %jmp/1 T_22.59, 4;
    %movi 32, 14, 4;
    %mov 36, 2, 3;
    %movi 39, 1, 1;
    %cmp/x 8, 32, 8;
    %jmp/1 T_22.60, 4;
    %movi 40, 15, 4;
    %mov 44, 2, 3;
    %movi 47, 1, 1;
    %cmp/x 8, 40, 8;
    %jmp/1 T_22.61, 4;
    %jmp T_22.62;
T_22.58 ;
    %movi 8, 1, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.62;
T_22.59 ;
    %movi 8, 1, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.62;
T_22.60 ;
    %movi 8, 6, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.62;
T_22.61 ;
    %movi 8, 7, 5;
    %set/v v0xc7fe60_0, 8, 5;
    %jmp T_22.62;
T_22.62 ;
T_22.56 ;
    %jmp T_22;
    .thread T_22, $push;
    .scope S_0xc86aa0;
T_23 ;
    %wait E_0xc86c20;
    %set/v v0xc86e10_0, 0, 1;
    %load/v 8, v0xc86ed0_0, 8;
    %cmpi/u 8, 22, 8;
    %mov 8, 4, 1;
    %load/v 9, v0xc86ed0_0, 8;
    %cmpi/u 9, 23, 8;
    %or 8, 4, 1;
    %load/v 9, v0xc86ed0_0, 8;
    %cmpi/u 9, 141, 8;
    %or 8, 4, 1;
    %jmp/0xz  T_23.0, 8;
    %set/v v0xc86e10_0, 1, 1;
T_23.0 ;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.2, 4;
    %load/x1p 8, v0xc86ed0_0, 4;
    %jmp T_23.3;
T_23.2 ;
    %mov 8, 2, 4;
T_23.3 ;
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 2, 4;
    %jmp/0xz  T_23.4, 4;
    %load/v 8, v0xc86ed0_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_23.6, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_23.7, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_23.8, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_23.9, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_23.10, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_23.11, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_23.12, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_23.13, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_23.14, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_23.15, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_23.16, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_23.17, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_23.18, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_23.19, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_23.20, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_23.21, 6;
    %jmp T_23.22;
T_23.6 ;
    %set/v v0xc86e10_0, 1, 1;
    %jmp T_23.22;
T_23.7 ;
    %set/v v0xc86e10_0, 0, 1;
    %jmp T_23.22;
T_23.8 ;
    %load/v 8, v0xc86ca0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.23, 4;
    %load/x1p 9, v0xc86ca0_0, 1;
    %jmp T_23.24;
T_23.23 ;
    %mov 9, 2, 1;
T_23.24 ;
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %inv 8, 1;
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.9 ;
    %load/v 8, v0xc86ca0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.25, 4;
    %load/x1p 9, v0xc86ca0_0, 1;
    %jmp T_23.26;
T_23.25 ;
    %mov 9, 2, 1;
T_23.26 ;
; Save base=9 wid=1 in lookaside.
    %or 8, 9, 1;
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.10 ;
    %load/v 8, v0xc86ca0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.11 ;
    %load/v 8, v0xc86ca0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.12 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.27, 4;
    %load/x1p 8, v0xc86ca0_0, 1;
    %jmp T_23.28;
T_23.27 ;
    %mov 8, 2, 1;
T_23.28 ;
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.13 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.29, 4;
    %load/x1p 8, v0xc86ca0_0, 1;
    %jmp T_23.30;
T_23.29 ;
    %mov 8, 2, 1;
T_23.30 ;
; Save base=8 wid=1 in lookaside.
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.14 ;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.31, 4;
    %load/x1p 8, v0xc86ca0_0, 1;
    %jmp T_23.32;
T_23.31 ;
    %mov 8, 2, 1;
T_23.32 ;
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.15 ;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.33, 4;
    %load/x1p 8, v0xc86ca0_0, 1;
    %jmp T_23.34;
T_23.33 ;
    %mov 8, 2, 1;
T_23.34 ;
; Save base=8 wid=1 in lookaside.
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.16 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.35, 4;
    %load/x1p 8, v0xc86ca0_0, 1;
    %jmp T_23.36;
T_23.35 ;
    %mov 8, 2, 1;
T_23.36 ;
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.17 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.37, 4;
    %load/x1p 8, v0xc86ca0_0, 1;
    %jmp T_23.38;
T_23.37 ;
    %mov 8, 2, 1;
T_23.38 ;
; Save base=8 wid=1 in lookaside.
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.18 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.39, 4;
    %load/x1p 8, v0xc86ca0_0, 1;
    %jmp T_23.40;
T_23.39 ;
    %mov 8, 2, 1;
T_23.40 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.41, 4;
    %load/x1p 9, v0xc86ca0_0, 1;
    %jmp T_23.42;
T_23.41 ;
    %mov 9, 2, 1;
T_23.42 ;
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %mov 8, 4, 1;
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.19 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.43, 4;
    %load/x1p 8, v0xc86ca0_0, 1;
    %jmp T_23.44;
T_23.43 ;
    %mov 8, 2, 1;
T_23.44 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.45, 4;
    %load/x1p 9, v0xc86ca0_0, 1;
    %jmp T_23.46;
T_23.45 ;
    %mov 9, 2, 1;
T_23.46 ;
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %inv 4, 1;
    %mov 8, 4, 1;
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.20 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.47, 4;
    %load/x1p 8, v0xc86ca0_0, 1;
    %jmp T_23.48;
T_23.47 ;
    %mov 8, 2, 1;
T_23.48 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.49, 4;
    %load/x1p 9, v0xc86ca0_0, 1;
    %jmp T_23.50;
T_23.49 ;
    %mov 9, 2, 1;
T_23.50 ;
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %mov 8, 4, 1;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.51, 4;
    %load/x1p 9, v0xc86ca0_0, 1;
    %jmp T_23.52;
T_23.51 ;
    %mov 9, 2, 1;
T_23.52 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.21 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.53, 4;
    %load/x1p 8, v0xc86ca0_0, 1;
    %jmp T_23.54;
T_23.53 ;
    %mov 8, 2, 1;
T_23.54 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.55, 4;
    %load/x1p 9, v0xc86ca0_0, 1;
    %jmp T_23.56;
T_23.55 ;
    %mov 9, 2, 1;
T_23.56 ;
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %inv 4, 1;
    %mov 8, 4, 1;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_23.57, 4;
    %load/x1p 9, v0xc86ca0_0, 1;
    %jmp T_23.58;
T_23.57 ;
    %mov 9, 2, 1;
T_23.58 ;
; Save base=9 wid=1 in lookaside.
    %or 8, 9, 1;
    %set/v v0xc86e10_0, 8, 1;
    %jmp T_23.22;
T_23.22 ;
T_23.4 ;
    %jmp T_23;
    .thread T_23, $push;
    .scope S_0xbf6740;
T_24 ;
    %wait E_0xbd7510;
    %load/v 8, v0xc8b070_0, 4;
    %cmpi/u 8, 15, 4;
    %inv 4, 1;
    %jmp/0xz  T_24.0, 4;
    %load/v 8, v0xc8b070_0, 4;
    %set/v v0xc88640_0, 8, 4;
    %jmp T_24.1;
T_24.0 ;
    %load/v 8, v0xc892a0_0, 4;
    %set/v v0xc88640_0, 8, 4;
T_24.1 ;
    %jmp T_24;
    .thread T_24, $push;
    .scope S_0xbf6740;
T_25 ;
    %wait E_0xbdd850;
    %load/v 8, v0xc8b070_0, 4;
    %cmpi/u 8, 15, 4;
    %inv 4, 1;
    %jmp/0xz  T_25.0, 4;
    %load/v 8, v0xc8b070_0, 4;
    %set/v v0xc88970_0, 8, 4;
    %jmp T_25.1;
T_25.0 ;
    %load/v 8, v0xc88cb0_0, 4;
    %set/v v0xc88970_0, 8, 4;
T_25.1 ;
    %jmp T_25;
    .thread T_25, $push;
    .scope S_0xbf6740;
T_26 ;
    %wait E_0xba0760;
    %load/v 8, v0xc87c70_0, 16;
    %set/v v0xc888a0_0, 8, 16;
    %load/v 8, v0xc89440_0, 3;
    %cmpi/u 8, 2, 3;
    %jmp/1 T_26.0, 6;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_26.1, 6;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_26.2, 6;
    %jmp T_26.3;
T_26.0 ;
    %load/v 8, v0xc8a550_0, 8;
    %load/v 16, v0xc8a470_0, 8;
    %set/v v0xc888a0_0, 8, 16;
    %jmp T_26.3;
T_26.1 ;
    %load/v 8, v0xc8a550_0, 8;
    %load/v 16, v0xc8a470_0, 8;
    %set/v v0xc888a0_0, 8, 16;
    %jmp T_26.3;
T_26.2 ;
    %load/v 8, v0xc88d80_0, 1;
    %jmp/0xz  T_26.4, 8;
    %load/v 8, v0xc8a550_0, 8;
    %load/v 16, v0xc8a470_0, 8;
    %set/v v0xc888a0_0, 8, 16;
    %jmp T_26.5;
T_26.4 ;
    %load/v 8, v0xc8bde0_0, 16;
    %set/v v0xc888a0_0, 8, 16;
T_26.5 ;
    %jmp T_26.3;
T_26.3 ;
    %jmp T_26;
    .thread T_26, $push;
    .scope S_0xbf6740;
T_27 ;
    %wait E_0xc29e50;
    %load/v 8, v0xc892a0_0, 4;
    %cmpi/u 8, 12, 4;
    %jmp/0xz  T_27.0, 4;
    %load/v 8, v0xc8a550_0, 8;
    %load/v 16, v0xc8a470_0, 8;
    %set/v v0xc88700_0, 8, 16;
    %jmp T_27.1;
T_27.0 ;
    %load/v 8, v0xc89440_0, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_27.2, 6;
    %load/v 8, v0xc8beb0_0, 16;
    %set/v v0xc88700_0, 8, 16;
    %jmp T_27.4;
T_27.2 ;
    %load/v 8, v0xc88d80_0, 1;
    %jmp/0xz  T_27.5, 8;
    %load/v 8, v0xc8a550_0, 8;
    %load/v 16, v0xc8a470_0, 8;
    %set/v v0xc88700_0, 8, 16;
    %jmp T_27.6;
T_27.5 ;
    %load/v 8, v0xc8bde0_0, 16;
    %set/v v0xc88700_0, 8, 16;
T_27.6 ;
    %jmp T_27.4;
T_27.4 ;
T_27.1 ;
    %jmp T_27;
    .thread T_27, $push;
    .scope S_0xbf6740;
T_28 ;
    %wait E_0xa15970;
    %load/v 8, v0xc8a550_0, 8;
    %load/v 16, v0xc8a470_0, 8;
    %set/v v0xc8a6f0_0, 8, 16;
    %load/v 8, v0xc89370_0, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_28.0, 6;
    %cmpi/u 8, 6, 3;
    %jmp/1 T_28.1, 6;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_28.2, 6;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_28.3, 6;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_28.4, 6;
    %jmp T_28.5;
T_28.0 ;
    %load/v 8, v0xc8bf80_0, 16;
    %load/v 24, v0xc8a550_0, 8;
    %load/v 32, v0xc8a470_0, 8;
    %add 8, 24, 16;
    %set/v v0xc8a6f0_0, 8, 16;
    %jmp T_28.5;
T_28.1 ;
    %load/v 8, v0xc8bf80_0, 16;
    %load/v 24, v0xc8a550_0, 8;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_28.6, 4;
    %load/x1p 48, v0xc8a550_0, 1;
    %jmp T_28.7;
T_28.6 ;
    %mov 48, 2, 1;
T_28.7 ;
    %mov 40, 48, 1; Move signal select into place
    %mov 47, 40, 1; Repetition 8
    %mov 46, 40, 1; Repetition 7
    %mov 45, 40, 1; Repetition 6
    %mov 44, 40, 1; Repetition 5
    %mov 43, 40, 1; Repetition 4
    %mov 42, 40, 1; Repetition 3
    %mov 41, 40, 1; Repetition 2
    %mov 32, 40, 8;
    %add 8, 24, 16;
    %set/v v0xc8a6f0_0, 8, 16;
    %jmp T_28.5;
T_28.2 ;
    %load/v 8, v0xc89e40_0, 8;
    %load/v 16, v0xc89da0_0, 8;
    %set/v v0xc8a6f0_0, 8, 16;
    %jmp T_28.5;
T_28.3 ;
    %load/v 8, v0xc89e40_0, 8;
    %load/v 16, v0xc8bcf0_0, 8;
    %set/v v0xc8a6f0_0, 8, 16;
    %jmp T_28.5;
T_28.4 ;
    %load/v 8, v0xc88d80_0, 1;
    %jmp/0xz  T_28.8, 8;
    %load/v 8, v0xc8a550_0, 8;
    %load/v 16, v0xc8a470_0, 8;
    %set/v v0xc8a6f0_0, 8, 16;
    %jmp T_28.9;
T_28.8 ;
    %load/v 8, v0xc8bde0_0, 16;
    %set/v v0xc8a6f0_0, 8, 16;
T_28.9 ;
    %jmp T_28.5;
T_28.5 ;
    %jmp T_28;
    .thread T_28, $push;
    .scope S_0xbf6740;
T_29 ;
    %wait E_0xc558e0;
    %load/v 8, v0xc89510_0, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_29.0, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_29.1, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_29.2, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_29.3, 6;
    %load/v 8, v0xc895b0_0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_29.6, 6;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_29.7, 6;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_29.8, 6;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_29.9, 6;
    %jmp T_29.10;
T_29.6 ;
    %load/v 8, v0xc8c050_0, 16;
    %set/v v0xc887d0_0, 8, 16;
    %jmp T_29.10;
T_29.7 ;
    %movi 8, 1, 16;
    %set/v v0xc887d0_0, 8, 16;
    %jmp T_29.10;
T_29.8 ;
    %set/v v0xc887d0_0, 0, 16;
    %jmp T_29.10;
T_29.9 ;
    %set/v v0xc887d0_0, 1, 16;
    %jmp T_29.10;
T_29.10 ;
    %jmp T_29.5;
T_29.0 ;
    %load/v 8, v0xc8a550_0, 8;
    %mov 16, 0, 8;
    %set/v v0xc887d0_0, 8, 16;
    %jmp T_29.5;
T_29.1 ;
    %load/v 8, v0xc8a550_0, 8;
    %load/v 16, v0xc8a470_0, 8;
    %set/v v0xc887d0_0, 8, 16;
    %jmp T_29.5;
T_29.2 ;
    %load/v 8, v0xc8a550_0, 8;
    %mov 16, 0, 8;
    %set/v v0xc887d0_0, 8, 16;
    %jmp T_29.5;
T_29.3 ;
    %load/v 8, v0xc8a550_0, 8;
    %load/v 16, v0xc8a470_0, 8;
    %set/v v0xc887d0_0, 8, 16;
    %jmp T_29.5;
T_29.5 ;
    %jmp T_29;
    .thread T_29, $push;
    .scope S_0xbf6740;
T_30 ;
    %wait E_0xc55f70;
    %load/v 8, v0xc8b4b0_0, 1;
    %jmp/0xz  T_30.0, 8;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0xc8b3d0_0, 0, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0xc8b210_0, 0, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0xc8b2f0_0, 0, 0;
    %jmp T_30.1;
T_30.0 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.2, 4;
    %load/x1p 8, v0xc8b3d0_0, 1;
    %jmp T_30.3;
T_30.2 ;
    %mov 8, 2, 1;
T_30.3 ;
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %jmp/0xz  T_30.4, 8;
    %load/v 8, v0xc882a0_0, 1;
    %load/v 9, v0xc8b3d0_0, 2; Select 2 out of 3 bits
    %ix/load 0, 3, 0;
    %assign/v0 v0xc8b3d0_0, 0, 8;
T_30.4 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.6, 4;
    %load/x1p 8, v0xc8b2f0_0, 1;
    %jmp T_30.7;
T_30.6 ;
    %mov 8, 2, 1;
T_30.7 ;
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %jmp/0xz  T_30.8, 8;
    %load/v 8, v0xc88200_0, 1;
    %load/v 9, v0xc8b2f0_0, 2; Select 2 out of 3 bits
    %ix/load 0, 3, 0;
    %assign/v0 v0xc8b2f0_0, 0, 8;
T_30.8 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.10, 4;
    %load/x1p 8, v0xc8b210_0, 1;
    %jmp T_30.11;
T_30.10 ;
    %mov 8, 2, 1;
T_30.11 ;
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %jmp/0xz  T_30.12, 8;
    %load/v 8, v0xc88050_0, 1;
    %load/v 9, v0xc8b210_0, 2; Select 2 out of 3 bits
    %ix/load 0, 3, 0;
    %assign/v0 v0xc8b210_0, 0, 8;
T_30.12 ;
    %load/v 8, v0xc8a060_0, 1;
    %jmp/0xz  T_30.14, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8a060_0, 0, 0;
T_30.14 ;
    %load/v 8, v0xc8b7e0_0, 1;
    %jmp/0xz  T_30.16, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b7e0_0, 0, 0;
T_30.16 ;
    %load/v 8, v0xc89c30_0, 1;
    %jmp/0xz  T_30.18, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89c30_0, 0, 0;
T_30.18 ;
    %load/v 8, v0xc89b90_0, 1;
    %jmp/0xz  T_30.20, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89b90_0, 0, 0;
T_30.20 ;
    %load/v 8, v0xc8b8b0_0, 1;
    %jmp/0xz  T_30.22, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b8b0_0, 0, 0;
T_30.22 ;
    %load/v 8, v0xc89cd0_0, 1;
    %jmp/0xz  T_30.24, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89cd0_0, 0, 0;
T_30.24 ;
    %load/v 8, v0xc8a130_0, 1;
    %jmp/0xz  T_30.26, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8a130_0, 0, 0;
T_30.26 ;
    %load/v 8, v0xc8b570_0, 1;
    %jmp/0xz  T_30.28, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b570_0, 0, 0;
T_30.28 ;
    %load/v 8, v0xc89980_0, 1;
    %jmp/0xz  T_30.30, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89980_0, 0, 0;
T_30.30 ;
    %load/v 8, v0xc8b640_0, 1;
    %jmp/0xz  T_30.32, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b640_0, 0, 0;
T_30.32 ;
    %load/v 8, v0xc8b710_0, 1;
    %jmp/0xz  T_30.34, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b710_0, 0, 0;
T_30.34 ;
    %load/v 8, v0xc8b980_0, 1;
    %jmp/0xz  T_30.36, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b980_0, 0, 0;
T_30.36 ;
    %load/v 8, v0xc8c1f0_0, 6;
    %pad 14, 0, 3;
    %cmpi/u 8, 0, 9;
    %jmp/1 T_30.38, 6;
    %cmpi/u 8, 1, 9;
    %jmp/1 T_30.39, 6;
    %cmpi/u 8, 2, 9;
    %jmp/1 T_30.40, 6;
    %cmpi/u 8, 3, 9;
    %jmp/1 T_30.41, 6;
    %cmpi/u 8, 4, 9;
    %jmp/1 T_30.42, 6;
    %cmpi/u 8, 5, 9;
    %jmp/1 T_30.43, 6;
    %cmpi/u 8, 6, 9;
    %jmp/1 T_30.44, 6;
    %cmpi/u 8, 7, 9;
    %jmp/1 T_30.45, 6;
    %cmpi/u 8, 8, 9;
    %jmp/1 T_30.46, 6;
    %cmpi/u 8, 9, 9;
    %jmp/1 T_30.47, 6;
    %cmpi/u 8, 10, 9;
    %jmp/1 T_30.48, 6;
    %cmpi/u 8, 11, 9;
    %jmp/1 T_30.49, 6;
    %cmpi/u 8, 12, 9;
    %jmp/1 T_30.50, 6;
    %cmpi/u 8, 13, 9;
    %jmp/1 T_30.51, 6;
    %cmpi/u 8, 14, 9;
    %jmp/1 T_30.52, 6;
    %cmpi/u 8, 15, 9;
    %jmp/1 T_30.53, 6;
    %cmpi/u 8, 16, 9;
    %jmp/1 T_30.54, 6;
    %cmpi/u 8, 17, 9;
    %jmp/1 T_30.55, 6;
    %cmpi/u 8, 18, 9;
    %jmp/1 T_30.56, 6;
    %cmpi/u 8, 19, 9;
    %jmp/1 T_30.57, 6;
    %cmpi/u 8, 20, 9;
    %jmp/1 T_30.58, 6;
    %cmpi/u 8, 21, 9;
    %jmp/1 T_30.59, 6;
    %cmpi/u 8, 22, 9;
    %jmp/1 T_30.60, 6;
    %cmpi/u 8, 23, 9;
    %jmp/1 T_30.61, 6;
    %cmpi/u 8, 24, 9;
    %jmp/1 T_30.62, 6;
    %cmpi/u 8, 25, 9;
    %jmp/1 T_30.63, 6;
    %cmpi/u 8, 26, 9;
    %jmp/1 T_30.64, 6;
    %cmpi/u 8, 27, 9;
    %jmp/1 T_30.65, 6;
    %cmpi/u 8, 28, 9;
    %jmp/1 T_30.66, 6;
    %cmpi/u 8, 32, 9;
    %jmp/1 T_30.67, 6;
    %cmpi/u 8, 33, 9;
    %jmp/1 T_30.68, 6;
    %cmpi/u 8, 34, 9;
    %jmp/1 T_30.69, 6;
    %cmpi/u 8, 35, 9;
    %jmp/1 T_30.70, 6;
    %cmpi/u 8, 36, 9;
    %jmp/1 T_30.71, 6;
    %cmpi/u 8, 37, 9;
    %jmp/1 T_30.72, 6;
    %cmpi/u 8, 48, 9;
    %jmp/1 T_30.73, 6;
    %cmpi/u 8, 49, 9;
    %jmp/1 T_30.74, 6;
    %cmpi/u 8, 50, 9;
    %jmp/1 T_30.75, 6;
    %cmpi/u 8, 51, 9;
    %jmp/1 T_30.76, 6;
    %cmpi/u 8, 52, 9;
    %jmp/1 T_30.77, 6;
    %cmpi/u 8, 53, 9;
    %jmp/1 T_30.78, 6;
    %cmpi/u 8, 54, 9;
    %jmp/1 T_30.79, 6;
    %cmpi/u 8, 55, 9;
    %jmp/1 T_30.80, 6;
    %cmpi/u 8, 56, 9;
    %jmp/1 T_30.81, 6;
    %cmpi/u 8, 57, 9;
    %jmp/1 T_30.82, 6;
    %cmpi/u 8, 58, 9;
    %jmp/1 T_30.83, 6;
    %cmpi/u 8, 59, 9;
    %jmp/1 T_30.84, 6;
    %cmpi/u 8, 60, 9;
    %jmp/1 T_30.85, 6;
    %cmpi/u 8, 61, 9;
    %jmp/1 T_30.86, 6;
    %cmpi/u 8, 62, 9;
    %jmp/1 T_30.87, 6;
    %cmpi/u 8, 63, 9;
    %jmp/1 T_30.88, 6;
    %jmp T_30.89;
T_30.38 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89fa0_0, 0, 1;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89da0_0, 0, 1;
    %movi 8, 254, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89e40_0, 0, 8;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %jmp T_30.89;
T_30.39 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89fa0_0, 0, 1;
    %ix/load 0, 3, 0;
    %assign/v0 v0xc8b3d0_0, 0, 0;
    %movi 8, 65532, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89e40_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89da0_0, 0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8b130_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b570_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8baf0_0, 0, 8;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %jmp T_30.89;
T_30.40 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89fa0_0, 0, 1;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 65530, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89e40_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89da0_0, 0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8b130_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8baf0_0, 0, 8;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b570_0, 0, 1;
    %jmp T_30.89;
T_30.41 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89fa0_0, 0, 1;
    %ix/load 0, 3, 0;
    %assign/v0 v0xc8b2f0_0, 0, 0;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 65528, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89e40_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89da0_0, 0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8b130_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b570_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8baf0_0, 0, 8;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %jmp T_30.89;
T_30.42 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89fa0_0, 0, 1;
    %ix/load 0, 3, 0;
    %assign/v0 v0xc8b210_0, 0, 0;
    %movi 8, 65526, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89e40_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89da0_0, 0, 16;
    %movi 8, 129, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8b130_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89980_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8baf0_0, 0, 8;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %jmp T_30.89;
T_30.43 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89fa0_0, 0, 1;
    %movi 8, 65524, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89e40_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89da0_0, 0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8b130_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b570_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8baf0_0, 0, 8;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %jmp T_30.89;
T_30.44 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89fa0_0, 0, 1;
    %movi 8, 65522, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89e40_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89da0_0, 0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8b130_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b570_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8baf0_0, 0, 8;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %jmp T_30.89;
T_30.45 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89fa0_0, 0, 1;
    %movi 8, 65520, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89e40_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89da0_0, 0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8b130_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b570_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8baf0_0, 0, 8;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %jmp T_30.89;
T_30.46 ;
    %vpi_call 3 418 "$display", "cpu_data_i %02x %t", v0xc87e90_0, $time {0 0};
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.47 ;
    %load/v 8, v0xc88e20_0, 1;
    %jmp/0xz  T_30.90, 8;
    %movi 8, 1, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.91;
T_30.90 ;
    %load/v 8, v0xc89ee0_0, 1;
    %jmp/0xz  T_30.92, 8;
    %movi 8, 4, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.93;
T_30.92 ;
    %load/v 8, v0xc8a2f0_0, 1;
    %jmp/0xz  T_30.94, 8;
    %movi 8, 3, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.95;
T_30.94 ;
    %movi 8, 10, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %load/v 8, v0xc8bf80_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
T_30.95 ;
T_30.93 ;
T_30.91 ;
    %jmp T_30.89;
T_30.48 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89b90_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8a060_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8ad70_0, 0, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8aea0_0, 0, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 1;
    %movi 8, 11, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.49 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8ac40_0, 0, 8;
    %load/v 8, v0xc87e90_0, 8;
    %cmpi/u 8, 16, 8;
    %jmp/1 T_30.96, 6;
    %cmpi/u 8, 17, 8;
    %jmp/1 T_30.97, 6;
    %cmpi/u 8, 30, 8;
    %jmp/1 T_30.98, 6;
    %cmpi/u 8, 31, 8;
    %jmp/1 T_30.99, 6;
    %movi 8, 15, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.101;
T_30.96 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8ad70_0, 0, 1;
    %movi 8, 12, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.101;
T_30.97 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8aea0_0, 0, 1;
    %movi 8, 12, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.101;
T_30.98 ;
    %movi 8, 12, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.101;
T_30.99 ;
    %movi 8, 12, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.101;
T_30.101 ;
    %jmp T_30.89;
T_30.50 ;
    %movi 8, 13, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %load/v 8, v0xc8bf80_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %jmp T_30.89;
T_30.51 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89b90_0, 0, 1;
    %movi 8, 14, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.52 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8af40_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8a060_0, 0, 1;
    %movi 8, 16, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.53 ;
    %load/v 8, v0xc89370_0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_30.102, 6;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_30.103, 6;
    %cmpi/u 8, 2, 3;
    %jmp/1 T_30.104, 6;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_30.105, 6;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_30.106, 6;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_30.107, 6;
    %cmpi/u 8, 6, 3;
    %jmp/1 T_30.108, 6;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_30.109, 6;
    %jmp T_30.110;
T_30.102 ;
    %load/v 8, v0xc8ac40_0, 8;
    %movi 16, 19, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_30.111, 4;
    %movi 16, 57, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_30.112, 4;
    %movi 16, 0, 1;
    %mov 17, 2, 1;
    %movi 18, 13, 6;
    %cmp/x 8, 16, 8;
    %jmp/1 T_30.113, 4;
    %movi 24, 1, 1;
    %mov 25, 2, 1;
    %movi 26, 13, 6;
    %cmp/x 8, 24, 8;
    %jmp/1 T_30.114, 4;
    %movi 32, 59, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_30.115, 4;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.117;
T_30.111 ;
    %movi 8, 38, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.117;
T_30.112 ;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 128, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8b130_0, 0, 8;
    %jmp T_30.117;
T_30.113 ;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8baf0_0, 0, 8;
    %jmp T_30.117;
T_30.114 ;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.117;
T_30.115 ;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8b130_0, 0, 1;
    %jmp T_30.117;
T_30.117 ;
    %jmp T_30.110;
T_30.103 ;
    %load/v 8, v0xc88b70_0, 1;
    %jmp/0xz  T_30.118, 8;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.119;
T_30.118 ;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
T_30.119 ;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %jmp T_30.110;
T_30.104 ;
    %load/v 8, v0xc8ac40_0, 8;
    %cmpi/u 8, 61, 8;
    %jmp/1 T_30.120, 6;
    %cmpi/u 8, 63, 8;
    %jmp/1 T_30.121, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.123;
T_30.120 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8a630_0, 0, 1;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.123;
T_30.121 ;
    %movi 8, 2, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.123;
T_30.123 ;
    %jmp T_30.110;
T_30.105 ;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %assign/v0 v0xc8a390_0, 0, 8;
    %load/v 8, v0xc89510_0, 4;
    %cmpi/u 8, 12, 4;
    %mov 8, 4, 1;
    %load/v 9, v0xc89510_0, 4;
    %cmpi/u 9, 6, 4;
    %or 8, 4, 1;
    %load/v 9, v0xc892a0_0, 4;
    %cmpi/u 9, 12, 4;
    %or 8, 4, 1;
    %jmp/0xz  T_30.124, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %jmp T_30.125;
T_30.124 ;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
T_30.125 ;
    %load/v 8, v0xc8bcf0_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89da0_0, 0, 8;
    %jmp T_30.110;
T_30.106 ;
    %movi 8, 22, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.110;
T_30.107 ;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %assign/v0 v0xc8a390_0, 0, 8;
    %load/v 8, v0xc89510_0, 4;
    %cmpi/u 8, 12, 4;
    %mov 8, 4, 1;
    %load/v 9, v0xc89510_0, 4;
    %cmpi/u 9, 6, 4;
    %or 8, 4, 1;
    %load/v 9, v0xc892a0_0, 4;
    %cmpi/u 9, 12, 4;
    %or 8, 4, 1;
    %jmp/0xz  T_30.126, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %jmp T_30.127;
T_30.126 ;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
T_30.127 ;
    %jmp T_30.110;
T_30.108 ;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %load/v 8, v0xc89440_0, 3;
    %cmpi/u 8, 4, 3;
    %jmp/0xz  T_30.128, 4;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %jmp T_30.129;
T_30.128 ;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
T_30.129 ;
    %jmp T_30.110;
T_30.109 ;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %load/v 8, v0xc89440_0, 3;
    %cmpi/u 8, 4, 3;
    %jmp/0xz  T_30.130, 4;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %jmp T_30.131;
T_30.130 ;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
T_30.131 ;
    %jmp T_30.110;
T_30.110 ;
    %jmp T_30.89;
T_30.54 ;
    %load/v 8, v0xc89370_0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_30.132, 6;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_30.133, 6;
    %cmpi/u 8, 2, 3;
    %jmp/1 T_30.134, 6;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_30.135, 6;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_30.136, 6;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_30.137, 6;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_30.138, 6;
    %jmp T_30.139;
T_30.132 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.139;
T_30.133 ;
    %load/v 8, v0xc8ac40_0, 8;
    %cmpi/u 8, 30, 8;
    %jmp/1 T_30.140, 6;
    %cmpi/u 8, 31, 8;
    %jmp/1 T_30.141, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %load/v 8, v0xc88b70_0, 1;
    %jmp/0xz  T_30.144, 8;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.145;
T_30.144 ;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
T_30.145 ;
    %jmp T_30.143;
T_30.140 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b710_0, 0, 1;
    %movi 8, 21, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.143;
T_30.141 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b980_0, 0, 1;
    %movi 8, 21, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.143;
T_30.143 ;
    %jmp T_30.139;
T_30.134 ;
    %load/v 8, v0xc8ac40_0, 8;
    %cmpi/u 8, 63, 8;
    %jmp/1 T_30.146, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.148;
T_30.146 ;
    %load/v 8, v0xc8ad70_0, 1;
    %jmp/0xz  T_30.149, 8;
    %movi 8, 5, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.150;
T_30.149 ;
    %movi 8, 6, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
T_30.150 ;
    %jmp T_30.148;
T_30.148 ;
    %jmp T_30.139;
T_30.135 ;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %assign/v0 v0xc8a390_0, 0, 8;
    %load/v 8, v0xc89510_0, 4;
    %cmpi/u 8, 12, 4;
    %mov 8, 4, 1;
    %load/v 9, v0xc89510_0, 4;
    %cmpi/u 9, 6, 4;
    %or 8, 4, 1;
    %load/v 9, v0xc892a0_0, 4;
    %cmpi/u 9, 12, 4;
    %or 8, 4, 1;
    %jmp/0xz  T_30.151, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %jmp T_30.152;
T_30.151 ;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
T_30.152 ;
    %load/v 8, v0xc8bcf0_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89da0_0, 0, 8;
    %jmp T_30.139;
T_30.136 ;
    %movi 8, 22, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.139;
T_30.137 ;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %assign/v0 v0xc8a390_0, 0, 8;
    %load/v 8, v0xc89510_0, 4;
    %cmpi/u 8, 12, 4;
    %mov 8, 4, 1;
    %load/v 9, v0xc89510_0, 4;
    %cmpi/u 9, 6, 4;
    %or 8, 4, 1;
    %load/v 9, v0xc892a0_0, 4;
    %cmpi/u 9, 12, 4;
    %or 8, 4, 1;
    %jmp/0xz  T_30.153, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %jmp T_30.154;
T_30.153 ;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
T_30.154 ;
    %jmp T_30.139;
T_30.138 ;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %jmp T_30.139;
T_30.139 ;
    %jmp T_30.89;
T_30.55 ;
    %load/v 8, v0xc8a630_0, 1;
    %inv 8, 1;
    %jmp/0xz  T_30.155, 8;
    %movi 8, 18, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b640_0, 0, 1;
    %jmp T_30.156;
T_30.155 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8a630_0, 0, 0;
T_30.156 ;
    %jmp T_30.89;
T_30.56 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %load/v 8, v0xc8ac40_0, 8;
    %movi 16, 60, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_30.157, 4;
    %load/v 8, v0xc88cb0_0, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_30.160, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_30.161, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %load/v 8, v0xc891d0_0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b8b0_0, 0, 8;
    %jmp T_30.163;
T_30.160 ;
    %movi 8, 62, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.163;
T_30.161 ;
    %movi 8, 60, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.163;
T_30.163 ;
    %jmp T_30.159;
T_30.157 ;
    %movi 8, 19, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.159;
T_30.159 ;
    %jmp T_30.89;
T_30.57 ;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8b130_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b570_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 20, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8baf0_0, 0, 8;
    %jmp T_30.89;
T_30.58 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89fa0_0, 0, 1;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89da0_0, 0, 1;
    %ix/load 0, 4, 0;
    %ix/load 1, 4, 0;
    %assign/v0/x1 v0xc89e40_0, 0, 1;
    %load/v 8, v0xc88e20_0, 1;
    %jmp/0xz  T_30.164, 8;
    %ix/load 0, 3, 0;
    %assign/v0 v0xc8b3d0_0, 0, 0;
    %movi 8, 12, 4;
    %ix/load 0, 4, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0xc89e40_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.165;
T_30.164 ;
    %load/v 8, v0xc89ee0_0, 1;
    %jmp/0xz  T_30.166, 8;
    %ix/load 0, 3, 0;
    %assign/v0 v0xc8b210_0, 0, 0;
    %movi 8, 6, 4;
    %ix/load 0, 4, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0xc89e40_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.167;
T_30.166 ;
    %load/v 8, v0xc8a2f0_0, 1;
    %jmp/0xz  T_30.168, 8;
    %ix/load 0, 3, 0;
    %assign/v0 v0xc8b2f0_0, 0, 0;
    %movi 8, 8, 4;
    %ix/load 0, 4, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0xc89e40_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
T_30.168 ;
T_30.167 ;
T_30.165 ;
    %jmp T_30.89;
T_30.59 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.60 ;
    %load/v 8, v0xc8bf80_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %movi 8, 23, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8a060_0, 0, 1;
    %jmp T_30.89;
T_30.61 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89b90_0, 0, 1;
    %movi 8, 24, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.62 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8a200_0, 0, 8;
    %movi 8, 25, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.63 ;
    %load/v 8, v0xc89100_0, 1;
    %jmp/0xz  T_30.170, 8;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 26, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %jmp T_30.171;
T_30.170 ;
    %load/v 8, v0xc89030_0, 1;
    %jmp/0xz  T_30.172, 8;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 26, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %jmp T_30.173;
T_30.172 ;
    %load/v 8, v0xc89510_0, 4;
    %cmpi/u 8, 12, 4;
    %mov 8, 4, 1;
    %load/v 9, v0xc89510_0, 4;
    %cmpi/u 9, 6, 4;
    %or 8, 4, 1;
    %load/v 9, v0xc892a0_0, 4;
    %cmpi/u 9, 12, 4;
    %or 8, 4, 1;
    %jmp/0xz  T_30.174, 8;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %assign/v0 v0xc8a390_0, 0, 8;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.175;
T_30.174 ;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
T_30.175 ;
T_30.173 ;
T_30.171 ;
    %jmp T_30.89;
T_30.64 ;
    %load/v 8, v0xc89510_0, 4;
    %cmpi/u 8, 12, 4;
    %mov 8, 4, 1;
    %load/v 9, v0xc89510_0, 4;
    %cmpi/u 9, 6, 4;
    %or 8, 4, 1;
    %load/v 9, v0xc892a0_0, 4;
    %cmpi/u 9, 12, 4;
    %or 8, 4, 1;
    %jmp/0xz  T_30.176, 8;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %assign/v0 v0xc8a390_0, 0, 8;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.177;
T_30.176 ;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
T_30.177 ;
    %jmp T_30.89;
T_30.65 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.66 ;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 0;
    %movi 8, 34, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %jmp T_30.89;
T_30.67 ;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8bb90_0, 0, 8;
    %load/v 8, v0xc8b130_0, 8;
    %pad 16, 0, 1;
    %cmp/u 0, 8, 9;
    %jmp/0xz  T_30.178, 5;
    %movi 8, 34, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.179;
T_30.178 ;
    %load/v 8, v0xc8baf0_0, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
T_30.179 ;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.180, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.181;
T_30.180 ;
    %mov 8, 2, 1;
T_30.181 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.182, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 7, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
    %jmp T_30.183;
T_30.182 ;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.184, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.185;
T_30.184 ;
    %mov 8, 2, 1;
T_30.185 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.186, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 6, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %load/v 8, v0xc89720_0, 1;
    %jmp/0  T_30.188, 8;
    %movi 9, 3, 4;
    %jmp/1  T_30.190, 8;
T_30.188 ; End of true expr.
    %movi 13, 4, 4;
    %jmp/0  T_30.189, 8;
 ; End of false expr.
    %blend  9, 13, 4; Condition unknown.
    %jmp  T_30.190;
T_30.189 ;
    %mov 9, 13, 4; Return false value
T_30.190 ;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 9;
    %jmp T_30.187;
T_30.186 ;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.191, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.192;
T_30.191 ;
    %mov 8, 2, 1;
T_30.192 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.193, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 5, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 2, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
    %jmp T_30.194;
T_30.193 ;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.195, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.196;
T_30.195 ;
    %mov 8, 2, 1;
T_30.196 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.197, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 4, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 1, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
    %jmp T_30.198;
T_30.197 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.199, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.200;
T_30.199 ;
    %mov 8, 2, 1;
T_30.200 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.201, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 3, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 11, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
    %jmp T_30.202;
T_30.201 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.203, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.204;
T_30.203 ;
    %mov 8, 2, 1;
T_30.204 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.205, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 2, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 9, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
    %jmp T_30.206;
T_30.205 ;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.207, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.208;
T_30.207 ;
    %mov 8, 2, 1;
T_30.208 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.209, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 1, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 8, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
    %jmp T_30.210;
T_30.209 ;
    %load/v 8, v0xc8b130_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.211, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 10, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
T_30.211 ;
T_30.210 ;
T_30.206 ;
T_30.202 ;
T_30.198 ;
T_30.194 ;
T_30.187 ;
T_30.183 ;
    %jmp T_30.89;
T_30.68 ;
    %load/v 8, v0xc8b130_0, 8;
    %cmpi/u 8, 0, 8;
    %inv 4, 1;
    %jmp/0xz  T_30.213, 4;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %assign/v0 v0xc8a390_0, 0, 8;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8ba50_0, 0, 8;
    %jmp T_30.214;
T_30.213 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
T_30.214 ;
    %load/v 8, v0xc8b130_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.215, 8;
    %movi 8, 10, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.216;
T_30.215 ;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.217, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.218;
T_30.217 ;
    %mov 8, 2, 1;
T_30.218 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.219, 8;
    %movi 8, 8, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 1, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.220;
T_30.219 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.221, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.222;
T_30.221 ;
    %mov 8, 2, 1;
T_30.222 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.223, 8;
    %movi 8, 9, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 2, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.224;
T_30.223 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.225, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.226;
T_30.225 ;
    %mov 8, 2, 1;
T_30.226 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.227, 8;
    %movi 8, 11, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 3, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.228;
T_30.227 ;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.229, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.230;
T_30.229 ;
    %mov 8, 2, 1;
T_30.230 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.231, 8;
    %movi 8, 1, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 4, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.232;
T_30.231 ;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.233, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.234;
T_30.233 ;
    %mov 8, 2, 1;
T_30.234 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.235, 8;
    %movi 8, 2, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 5, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.236;
T_30.235 ;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.237, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.238;
T_30.237 ;
    %mov 8, 2, 1;
T_30.238 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.239, 8;
    %load/v 8, v0xc89720_0, 1;
    %jmp/0  T_30.241, 8;
    %movi 9, 3, 4;
    %jmp/1  T_30.243, 8;
T_30.241 ; End of true expr.
    %movi 13, 4, 4;
    %jmp/0  T_30.242, 8;
 ; End of false expr.
    %blend  9, 13, 4; Condition unknown.
    %jmp  T_30.243;
T_30.242 ;
    %mov 9, 13, 4; Return false value
T_30.243 ;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 9;
    %ix/load 0, 1, 0;
    %ix/load 1, 6, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.240;
T_30.239 ;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.244, 4;
    %load/x1p 8, v0xc8b130_0, 1;
    %jmp T_30.245;
T_30.244 ;
    %mov 8, 2, 1;
T_30.245 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_30.246, 8;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0xc8b070_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 7, 0;
    %assign/v0/x1 v0xc8b130_0, 0, 0;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
T_30.246 ;
T_30.240 ;
T_30.236 ;
T_30.232 ;
T_30.228 ;
T_30.224 ;
T_30.220 ;
T_30.216 ;
    %jmp T_30.89;
T_30.69 ;
    %load/v 8, v0xc8beb0_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89af0_0, 0, 8;
    %movi 8, 35, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89c30_0, 0, 1;
    %load/v 8, v0xc8c120_0, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89cd0_0, 0, 1;
    %jmp T_30.89;
T_30.70 ;
    %load/v 8, v0xc8b070_0, 4;
    %cmpi/u 8, 8, 4;
    %jmp/0xz  T_30.248, 5;
    %movi 8, 36, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.249;
T_30.248 ;
    %load/v 8, v0xc8b130_0, 4; Select 4 out of 8 bits
    %pad 12, 0, 1;
    %cmp/u 0, 8, 5;
    %jmp/0xz  T_30.250, 5;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.251;
T_30.250 ;
    %load/v 8, v0xc8baf0_0, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
T_30.251 ;
T_30.249 ;
    %load/v 8, v0xc89a50_0, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %jmp T_30.89;
T_30.71 ;
    %ix/load 1, 8, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.252, 4;
    %load/x1p 8, v0xc8beb0_0, 8;
    %jmp T_30.253;
T_30.252 ;
    %mov 8, 2, 8;
T_30.253 ;
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89af0_0, 0, 8;
    %movi 8, 37, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89c30_0, 0, 1;
    %movi 8, 8, 4;
    %load/v 12, v0xc8b070_0, 4;
    %cmp/u 8, 12, 4;
    %or 5, 4, 1;
    %jmp/0xz  T_30.254, 5;
    %load/v 8, v0xc8c120_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
T_30.254 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89cd0_0, 0, 1;
    %jmp T_30.89;
T_30.72 ;
    %load/v 8, v0xc8bb90_0, 6;
    %pad 14, 0, 3;
    %cmpi/u 8, 27, 9;
    %jmp/0xz  T_30.256, 4;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b7e0_0, 0, 1;
T_30.256 ;
    %load/v 8, v0xc8bb90_0, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.73 ;
    %load/v 8, v0xc8bf80_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %movi 8, 49, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8a060_0, 0, 1;
    %jmp T_30.89;
T_30.74 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89b90_0, 0, 1;
    %movi 8, 50, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.75 ;
    %load/v 8, v0xc89370_0, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_30.258, 6;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_30.259, 6;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_30.260, 6;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_30.261, 6;
    %jmp T_30.262;
T_30.258 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8a470_0, 0, 8;
    %jmp T_30.262;
T_30.259 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8a470_0, 0, 8;
    %jmp T_30.262;
T_30.260 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89da0_0, 0, 8;
    %jmp T_30.262;
T_30.261 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc88ec0_0, 0, 8;
    %jmp T_30.262;
T_30.262 ;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.76 ;
    %load/v 8, v0xc8bf80_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %movi 8, 52, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8a060_0, 0, 1;
    %jmp T_30.89;
T_30.77 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89b90_0, 0, 1;
    %movi 8, 53, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.78 ;
    %load/v 8, v0xc89370_0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_30.263, 6;
    %cmpi/u 8, 6, 3;
    %jmp/1 T_30.264, 6;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_30.265, 6;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_30.266, 6;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_30.267, 6;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_30.268, 6;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_30.269, 6;
    %jmp T_30.270;
T_30.263 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8b130_0, 0, 8;
    %jmp T_30.270;
T_30.264 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8a550_0, 0, 8;
    %jmp T_30.270;
T_30.265 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8a550_0, 0, 8;
    %jmp T_30.270;
T_30.266 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8a550_0, 0, 8;
    %jmp T_30.270;
T_30.267 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89e40_0, 0, 8;
    %jmp T_30.270;
T_30.268 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89e40_0, 0, 8;
    %jmp T_30.270;
T_30.269 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8aba0_0, 0, 8;
    %jmp T_30.270;
T_30.270 ;
    %load/v 8, v0xc8bb90_0, 6;
    %pad 14, 0, 3;
    %cmpi/u 8, 27, 9;
    %mov 8, 4, 1;
    %load/v 9, v0xc88c10_0, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_30.271, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b7e0_0, 0, 1;
T_30.271 ;
    %load/v 8, v0xc8bb90_0, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.79 ;
    %load/v 8, v0xc89370_0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_30.273, 6;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_30.274, 6;
    %load/v 8, v0xc89e40_0, 8;
    %load/v 16, v0xc89da0_0, 8;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %jmp T_30.276;
T_30.273 ;
    %load/v 8, v0xc8c120_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8a130_0, 0, 1;
    %jmp T_30.276;
T_30.274 ;
    %load/v 8, v0xc8bde0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %jmp T_30.276;
T_30.276 ;
    %load/v 8, v0xc89fa0_0, 1;
    %load/v 9, v0xc89650_0, 1;
    %or 8, 9, 1;
    %load/v 9, v0xc8b070_0, 4;
    %cmpi/u 9, 8, 4;
    %mov 9, 5, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_30.277, 8;
    %movi 8, 55, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.278;
T_30.277 ;
    %movi 8, 58, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
T_30.278 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89fa0_0, 0, 0;
    %jmp T_30.89;
T_30.80 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89b90_0, 0, 1;
    %movi 8, 56, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.81 ;
    %load/v 8, v0xc8a390_0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_30.279, 6;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_30.280, 6;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_30.281, 6;
    %jmp T_30.282;
T_30.279 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8a470_0, 0, 8;
    %jmp T_30.282;
T_30.280 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8a470_0, 0, 8;
    %jmp T_30.282;
T_30.281 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89da0_0, 0, 8;
    %jmp T_30.282;
T_30.282 ;
    %movi 8, 58, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0xc89a50_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %load/v 8, v0xc89370_0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_30.283, 6;
    %jmp T_30.284;
T_30.283 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8a130_0, 0, 1;
    %jmp T_30.284;
T_30.284 ;
    %jmp T_30.89;
T_30.82 ;
    %load/v 8, v0xc89370_0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_30.285, 6;
    %jmp T_30.286;
T_30.285 ;
    %load/v 8, v0xc8c120_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8a130_0, 0, 1;
    %jmp T_30.286;
T_30.286 ;
    %movi 8, 58, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.83 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89b90_0, 0, 1;
    %movi 8, 59, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.84 ;
    %load/v 8, v0xc8a390_0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_30.287, 6;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_30.288, 6;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_30.289, 6;
    %jmp T_30.290;
T_30.287 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8a550_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b7e0_0, 0, 1;
    %jmp T_30.290;
T_30.288 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8a550_0, 0, 8;
    %jmp T_30.290;
T_30.289 ;
    %load/v 8, v0xc87e90_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89e40_0, 0, 8;
    %jmp T_30.290;
T_30.290 ;
    %load/v 8, v0xc89370_0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_30.291, 6;
    %cmpi/u 8, 2, 3;
    %jmp/1 T_30.292, 6;
    %jmp T_30.293;
T_30.291 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b640_0, 0, 1;
    %jmp T_30.293;
T_30.292 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b640_0, 0, 1;
    %jmp T_30.293;
T_30.293 ;
    %load/v 8, v0xc8ba50_0, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.85 ;
    %load/v 8, v0xc89370_0, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_30.294, 6;
    %load/v 8, v0xc89e40_0, 8;
    %load/v 16, v0xc89da0_0, 8;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %jmp T_30.296;
T_30.294 ;
    %load/v 8, v0xc8bde0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %jmp T_30.296;
T_30.296 ;
    %ix/load 1, 8, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.297, 4;
    %load/x1p 8, v0xc888a0_0, 8;
    %jmp T_30.298;
T_30.297 ;
    %mov 8, 2, 8;
T_30.298 ;
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89af0_0, 0, 8;
    %movi 8, 61, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89c30_0, 0, 1;
    %jmp T_30.89;
T_30.86 ;
    %movi 8, 62, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0xc89a50_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %jmp T_30.89;
T_30.87 ;
    %load/v 8, v0xc88b70_0, 1;
    %inv 8, 1;
    %jmp/0xz  T_30.299, 8;
    %load/v 8, v0xc89370_0, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_30.301, 6;
    %load/v 8, v0xc89e40_0, 8;
    %load/v 16, v0xc89da0_0, 8;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %jmp T_30.303;
T_30.301 ;
    %load/v 8, v0xc8bde0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v0xc89a50_0, 0, 8;
    %jmp T_30.303;
T_30.303 ;
T_30.299 ;
    %load/v 8, v0xc888a0_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v0xc89af0_0, 0, 8;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc89c30_0, 0, 1;
    %jmp T_30.89;
T_30.88 ;
    %load/v 8, v0xc891d0_0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0xc8b8b0_0, 0, 8;
    %load/v 8, v0xc8ba50_0, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0xc8c1f0_0, 0, 8;
    %jmp T_30.89;
T_30.89 ;
T_30.1 ;
    %jmp T_30;
    .thread T_30;
    .scope S_0xbf6740;
T_31 ;
    %set/v v0xc89b90_0, 0, 1;
    %set/v v0xc89c30_0, 0, 1;
    %set/v v0xc8a390_0, 0, 2;
    %set/v v0xc8a6f0_0, 1, 16;
    %set/v v0xc8b980_0, 0, 1;
    %set/v v0xc8b710_0, 0, 1;
    %set/v v0xc8a630_0, 0, 1;
    %end;
    .thread T_31;
    .scope S_0xc8c470;
T_32 ;
    %wait E_0xc8c700;
    %load/v 16, v0xc8c7c0_0, 16;
    %pad 32, 0, 2;
    %ix/get 3, 16, 18;
    %load/av 8, v0xc8cb40, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0xc8caa0_0, 0, 8;
    %jmp T_32;
    .thread T_32;
    .scope S_0xc8c470;
T_33 ;
    %wait E_0xc8c760;
    %load/v 8, v0xc8c8d0_0, 8;
    %load/v 16, v0xc8c7c0_0, 16;
    %pad 32, 0, 2;
    %ix/get 3, 16, 18;
    %jmp/1 t_0, 4;
    %ix/load 1, 0, 0; part off
    %ix/load 0, 8, 0; word width
    %assign/av v0xc8cb40, 0, 8;
t_0 ;
    %vpi_call 2 70 "$display", "W %04x = %02x %t", v0xc8c7c0_0, v0xc8c8d0_0, $time {0 0};
    %jmp T_33;
    .thread T_33;
    .scope S_0xc8c470;
T_34 ;
    %wait E_0xc8c700;
    %load/v 16, v0xc8c7c0_0, 16;
    %pad 32, 0, 2;
    %ix/get 3, 16, 18;
    %load/av 8, v0xc8cb40, 8;
    %vpi_call 2 75 "$display", "R %04x = %02x %t", v0xc8c7c0_0, T<8,8,u>, $time {0 0};
    %jmp T_34;
    .thread T_34;
    .scope S_0xc8c470;
T_35 ;
    %vpi_call 2 82 "$readmemh", "instructions_test.hex", v0xc8cb40 {0 0};
    %vpi_call 2 83 "$display", "instructions_test.hex read" {0 0};
    %ix/load 1, 0, 0;
    %ix/load 3, 65534, 0;
    %set/av v0xc8cb40, 0, 8;
    %ix/load 1, 0, 0;
    %ix/load 3, 65535, 0;
    %set/av v0xc8cb40, 0, 8;
    %end;
    .thread T_35;
    .scope S_0xbe5020;
T_36 ;
    %delay 5, 0;
    %load/v 8, v0x108d320_0, 1;
    %inv 8, 1;
    %set/v v0x108d320_0, 8, 1;
    %jmp T_36;
    .thread T_36;
    .scope S_0xbe5020;
T_37 ;
    %vpi_call 2 34 "$dumpvars" {0 0};
    %set/v v0x108d320_0, 0, 1;
    %set/v v0x108d790_0, 1, 1;
    %delay 0, 0;
    %delay 46, 0;
    %set/v v0x108d790_0, 0, 1;
    %delay 7000, 0;
    %vpi_call 2 41 "$finish" {0 0};
    %end;
    .thread T_37;
# The file index is used to find the file name in the following table.
:file_names 7;
    "N/A";
    "<interactive>";
    "tb.v";
    "../rtl/verilog/MC6809_cpu.v";
    "../rtl/verilog/alu16.v";
    "../rtl/verilog/decoders.v";
    "../rtl/verilog/regblock.v";

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.