OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

[/] [6809_6309_compatible_core/] [trunk/] [syn/] [lattice/] [fontrom.v] - Rev 8

Compare with Previous | Blame | View Log

/* Verilog netlist generated by SCUBA Diamond_2.2_Production (99) */
/* Module Version: 5.1 */
/* /usr/local/diamond/2.2_x64/ispfpga/bin/lin64/scuba -w -n fontrom -lang verilog -synth synplify -bus_exp 7 -bb -arch xo2c00 -type bram -wp 00 -rp 1100 -addr_width 12 -data_width 8 -num_rows 4096 -cascade -1 -memfile font256x16l.mem -memformat orca -e  */
/* Wed Jan  1 20:10:25 2014 */
 
 
`timescale 1 ns / 1 ps
module fontrom (Address, OutClock, OutClockEn, Reset, Q)/* synthesis NGD_DRC_MASK=1 */;
    input wire [11:0] Address;
    input wire OutClock;
    input wire OutClockEn;
    input wire Reset;
    output wire [7:0] Q;
 
    wire scuba_vhi;
    wire scuba_vlo;
 
    defparam fontrom_0_0_3.INIT_DATA = "STATIC" ;
    defparam fontrom_0_0_3.ASYNC_RESET_RELEASE = "SYNC" ;
    defparam fontrom_0_0_3.INITVAL_1F = "0x0000000000000000000000000000000000000000000000000C000000000000000000000000000000" ;
    defparam fontrom_0_0_3.INITVAL_1E = "0x00002010000000010000000000000000000006E000080000000008004000000C0040000002010400" ;
    defparam fontrom_0_0_3.INITVAL_1D = "0x000AA1540000000000000000B1F4C00000B1F0000002A1402000080054000000A150000008214080" ;
    defparam fontrom_0_0_3.INITVAL_1C = "0x000000040000002154000000001000000A000480000000040000000004A00002A000000008001000" ;
    defparam fontrom_0_0_3.INITVAL_1B = "0x0000007EFF1FEFF1FEFF00000000001FEFF180001FEFF1FEFF000001800000000000000000019800" ;
    defparam fontrom_0_0_3.INITVAL_1A = "0x154AA1D4AA154AA1800000000198000000019800000001D4AA154AA180000000019800000001D4AA" ;
    defparam fontrom_0_0_3.INITVAL_19 = "0x0000019800154AA19CAA0000019800154AA19CAA154AA198000000019CAA154AA198000000019CAA" ;
    defparam fontrom_0_0_3.INITVAL_18 = "0x154AA1D4AA0000019800000001800000000180000000018000000001800000000180000000018000" ;
    defparam fontrom_0_0_3.INITVAL_17 = "0x0000000000000000000000000154AA00000154AA154AA15000154AA154AA154AA154AA0000000000" ;
    defparam fontrom_0_0_3.INITVAL_16 = "0x154AA10000154AA154AA0000000000000000000000000000001BADD1BADD13299132990221102211" ;
    defparam fontrom_0_0_3.INITVAL_15 = "0x000001000000008010000000000000014AA00480018B8004800002A1400000000040000002800000" ;
    defparam fontrom_0_0_3.INITVAL_14 = "0x00000000000000004400000AA15482000AA1402000080000000002A1400000000000000008000000" ;
    defparam fontrom_0_0_3.INITVAL_13 = "0x0000004038000EA1D0A000003060B000020000000000B006800002A154880002A15408004AA15020" ;
    defparam fontrom_0_0_3.INITVAL_12 = "0x000800000000080000000002A140000002A140200002A140000008004020000C21F000000A001400" ;
    defparam fontrom_0_0_3.INITVAL_11 = "0x000AA14000000AA14008000000000000000000800000000020000201400000020140200002014000" ;
    defparam fontrom_0_0_3.INITVAL_10 = "0x00082010000008000000000800000000080000000008000000000201400000080000000040A00480" ;
    defparam fontrom_0_0_3.INITVAL_0F = "0x0002A100000000000020000000400000000000000008000020000A001000004AA15000000E005800" ;
    defparam fontrom_0_0_3.INITVAL_0E = "0x000BF1F8000000B1F800000800000000020000000002004000000001400004000010000002A14000" ;
    defparam fontrom_0_0_3.INITVAL_0D = "0x0002A14000000AA14000000FF1F0000000000000000A001000014AA150A00000000000000AA14000" ;
    defparam fontrom_0_0_3.INITVAL_0C = "0x000000100000000000000002014000000800000000020040000002A1000000080000000000000000" ;
    defparam fontrom_0_0_3.INITVAL_0B = "0x018000000000000000800000000000000A8000000000000000000F4004F000000016F0000F8004F0" ;
    defparam fontrom_0_0_3.INITVAL_0A = "0x000AF1FEF00000B1FEF00002A154A000000002F00002A00480000AA014800102A154800000001480" ;
    defparam fontrom_0_0_3.INITVAL_09 = "0x0002A15480000AA154A0000FF1FEF0000A800000000A8004A000000000200000000000000AA154A0" ;
    defparam fontrom_0_0_3.INITVAL_08 = "0x000AA1048000000004A0000A8004A00000A1540000028004800002A11480000AA150000000215400" ;
    defparam fontrom_0_0_3.INITVAL_07 = "0x0000000480000001000000002010000008000080000000000000000000000000A154800002A11480" ;
    defparam fontrom_0_0_3.INITVAL_06 = "0x00000014A00002A100000002A1002000080100000002A11480000A00048000080000000002F1FE80" ;
    defparam fontrom_0_0_3.INITVAL_05 = "0x00000014000000000000000001000000000000000000010000000081900000000000000000000000" ;
    defparam fontrom_0_0_3.INITVAL_04 = "0x00000000000008004000000A0014000002A10480000080100000000000A800000000000000000000" ;
    defparam fontrom_0_0_3.INITVAL_03 = "0x00000014000002800000000021C00000008000000000010000000001000000008000000000000400" ;
    defparam fontrom_0_0_3.INITVAL_02 = "0x0008200400000AA000000008014020000FF1FEF0000A2154A00000200400000AA154A80000004000" ;
    defparam fontrom_0_0_3.INITVAL_01 = "0x0000C19800000BF1FEF000000006F0000080548000000014A01FEF50BEFF0000A140001FEFF1FEFF" ;
    defparam fontrom_0_0_3.INITVAL_00 = "0x0000000000000021F000000031E00000000100000000215000000BF1FEE0000950AA600000000000" ;
    defparam fontrom_0_0_3.CSDECODE_B = "0b111" ;
    defparam fontrom_0_0_3.CSDECODE_A = "0b000" ;
    defparam fontrom_0_0_3.WRITEMODE_B = "NORMAL" ;
    defparam fontrom_0_0_3.WRITEMODE_A = "NORMAL" ;
    defparam fontrom_0_0_3.GSR = "ENABLED" ;
    defparam fontrom_0_0_3.RESETMODE = "ASYNC" ;
    defparam fontrom_0_0_3.REGMODE_B = "NOREG" ;
    defparam fontrom_0_0_3.REGMODE_A = "NOREG" ;
    defparam fontrom_0_0_3.DATA_WIDTH_B = 2 ;
    defparam fontrom_0_0_3.DATA_WIDTH_A = 2 ;
    DP8KC fontrom_0_0_3 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), 
        .DIA5(scuba_vlo), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(scuba_vlo), 
        .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(Address[11]), .ADA11(Address[10]), 
        .ADA10(Address[9]), .ADA9(Address[8]), .ADA8(Address[7]), .ADA7(Address[6]), 
        .ADA6(Address[5]), .ADA5(Address[4]), .ADA4(Address[3]), .ADA3(Address[2]), 
        .ADA2(Address[1]), .ADA1(Address[0]), .ADA0(scuba_vlo), .CEA(OutClockEn), 
        .OCEA(OutClockEn), .CLKA(OutClock), .WEA(scuba_vlo), .CSA2(scuba_vlo), 
        .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), 
        .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), 
        .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), 
        .ADB12(scuba_vlo), .ADB11(scuba_vlo), .ADB10(scuba_vlo), .ADB9(scuba_vlo), 
        .ADB8(scuba_vlo), .ADB7(scuba_vlo), .ADB6(scuba_vlo), .ADB5(scuba_vlo), 
        .ADB4(scuba_vlo), .ADB3(scuba_vlo), .ADB2(scuba_vlo), .ADB1(scuba_vlo), 
        .ADB0(scuba_vlo), .CEB(scuba_vhi), .OCEB(scuba_vhi), .CLKB(scuba_vlo), 
        .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), 
        .RSTB(scuba_vlo), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), 
        .DOA2(), .DOA1(Q[1]), .DOA0(Q[0]), .DOB8(), .DOB7(), .DOB6(), .DOB5(), 
        .DOB4(), .DOB3(), .DOB2(), .DOB1(), .DOB0())
             /* synthesis MEM_LPC_FILE="fontrom.lpc" */
             /* synthesis MEM_INIT_FILE="font256x16l.mem" */;
 
    defparam fontrom_0_1_2.INIT_DATA = "STATIC" ;
    defparam fontrom_0_1_2.ASYNC_RESET_RELEASE = "SYNC" ;
    defparam fontrom_0_1_2.INITVAL_1F = "0x00000000000003F1FE0000000050200000007EF8000FF1FEFC0000200000000021000000000004F8" ;
    defparam fontrom_0_1_2.INITVAL_1E = "0x0000D0680000028194000002A154AA154AA154B0000CE004C0000C21BC00000C2174000003018600" ;
    defparam fontrom_0_1_2.INITVAL_1D = "0x000550AAC0000C0060300000C15A000000E15800000D50FC30000FF1AAE0000B51AE80000ED0BCC0" ;
    defparam fontrom_0_1_2.INITVAL_1C = "0x000AA15A000000D0AA000002A15800000D0102C0000FF1FE000000000270000D51DEE00007A16800" ;
    defparam fontrom_0_1_2.INITVAL_1B = "0x0000007EFF1FEFF1FEFF00000000001FEFF180001FEFF1FEFF154AA1800000000154AA154AA1DCAA" ;
    defparam fontrom_0_1_2.INITVAL_1A = "0x0AA551AA550AA5518000154AA1D800000001DCAA000001AA550AA5518000154AA19800000001AA55" ;
    defparam fontrom_0_1_2.INITVAL_19 = "0x0000019CAA0AA5508A5500000198000AA5508A550AA55098000000018A550AA55098000000018A55" ;
    defparam fontrom_0_1_2.INITVAL_18 = "0x0AA550AA55154AA1DCAA154AA1D4AA0000018000154AA1D4AA154AA18000000001D4AA000001D4AA" ;
    defparam fontrom_0_1_2.INITVAL_17 = "0x154AA1000000000154AA000001AA55000001AA550AA550B8000AA550AA550AA550AA55154AA15000" ;
    defparam fontrom_0_1_2.INITVAL_16 = "0x0AA55180000AA550AA55154AA154AA154AA154AA154AA154AA0EE770EE7713299132990884408844" ;
    defparam fontrom_0_1_2.INITVAL_15 = "0x0000B0F0000000716800000BF150A000ADD05A0001E6C05A00000150E0000000006000000D400000" ;
    defparam fontrom_0_1_2.INITVAL_14 = "0x00000064F800000066FC000571EA4D000550B8D00007F1F808000D50B808000EA1502C0007F1F008" ;
    defparam fontrom_0_1_2.INITVAL_13 = "0x004AA174AC000151A65C000AB17640000D000078000AC000E8000D50AA44000D50AAC405AD50A810" ;
    defparam fontrom_0_1_2.INITVAL_12 = "0x0007F1F8800007F1F8E0000D50B880000D50B810000D50B8E0000FF1FEF00007B15800000D018E02" ;
    defparam fontrom_0_1_2.INITVAL_11 = "0x000570BC2E000570BC04000EA15080000EA15078000EA15010000D01B880000D01B810000D01B8E0" ;
    defparam fontrom_0_1_2.INITVAL_10 = "0x0067D00E000007F1F0B80007F1F0800007F1F0300007F1F0E0000D01B82C0007F1F83001AF400070" ;
    defparam fontrom_0_1_2.INITVAL_0F = "0x000350F00000000000D00002A17480000AA114A0000EA114B0000D01780005AD50A8000001E1A000" ;
    defparam fontrom_0_1_2.INITVAL_0E = "0x0007A00000000B4000000007F1F800000D001800000DE03800000000B80007EFF1E800000D50B800" ;
    defparam fontrom_0_1_2.INITVAL_0D = "0x000D50B800000550B800000AA16800000EA154A00005E1680006A550B850000EA150A0000550B800" ;
    defparam fontrom_0_1_2.INITVAL_0C = "0x05EFF1E80000000002E0000D01B8000007F1FEF0000D003800000D50F0000007F1F0000000000020" ;
    defparam fontrom_0_1_2.INITVAL_0B = "0x01800000000000000078000FF1FEF00001F10000000C000030000C005A30000EA168000000715A00" ;
    defparam fontrom_0_1_2.INITVAL_0A = "0x0005E10000000B400000000D50AA50000EA154B0000D51C270000551EA7001EF50AA700000006A70" ;
    defparam fontrom_0_1_2.INITVAL_09 = "0x000D50AA70000551FA500000005E40000D0000000005715A50000BF1FEF0000EA154B0000550EA50" ;
    defparam fontrom_0_1_2.INITVAL_08 = "0x00095180700000015070000D015070000B50AAE0000D000070000D50EA70000551AE80000CF1EAC0" ;
    defparam fontrom_0_1_2.INITVAL_07 = "0x000A215A700000B0F0000000301800000780164000028014000002801400000B50EA70000D50EA70" ;
    defparam fontrom_0_1_2.INITVAL_06 = "0x0000016A70000D50E020000D50E030000FF1FEF0000D50EA70000D005A70000EA154A0000D014070" ;
    defparam fontrom_0_1_2.INITVAL_05 = "0x0000016800000A0000000000018000000A8000000000A1D000000071E8000002F1FE80000E0000B0" ;
    defparam fontrom_0_1_2.INITVAL_04 = "0x00000000000007F1B6E00005016800014D50E07A000FF1FEC00000000254000A215EE00000000000" ;
    defparam fontrom_0_1_2.INITVAL_03 = "0x0000A1FE000003F1D000000051A8000000C0000000000180000000B1F000000BE154A0000AA156E0" ;
    defparam fontrom_0_1_2.INITVAL_02 = "0x000EF156E0000FF000000067B0BC1C000AA154B0000510AA500002F156E00001F1FED00000017000" ;
    defparam fontrom_0_1_2.INITVAL_01 = "0x000AB0F48000054006300000000630000AE1AA70000BF1C4F01FECB1C6FF00034038001FEF403EFF" ;
    defparam fontrom_0_1_2.INITVAL_00 = "0x0000B1C000000EB1FE80000E90BE800000B1F0000002F1FE00000FD07CF0000C2182300000000000" ;
    defparam fontrom_0_1_2.CSDECODE_B = "0b111" ;
    defparam fontrom_0_1_2.CSDECODE_A = "0b000" ;
    defparam fontrom_0_1_2.WRITEMODE_B = "NORMAL" ;
    defparam fontrom_0_1_2.WRITEMODE_A = "NORMAL" ;
    defparam fontrom_0_1_2.GSR = "ENABLED" ;
    defparam fontrom_0_1_2.RESETMODE = "ASYNC" ;
    defparam fontrom_0_1_2.REGMODE_B = "NOREG" ;
    defparam fontrom_0_1_2.REGMODE_A = "NOREG" ;
    defparam fontrom_0_1_2.DATA_WIDTH_B = 2 ;
    defparam fontrom_0_1_2.DATA_WIDTH_A = 2 ;
    DP8KC fontrom_0_1_2 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), 
        .DIA5(scuba_vlo), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(scuba_vlo), 
        .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(Address[11]), .ADA11(Address[10]), 
        .ADA10(Address[9]), .ADA9(Address[8]), .ADA8(Address[7]), .ADA7(Address[6]), 
        .ADA6(Address[5]), .ADA5(Address[4]), .ADA4(Address[3]), .ADA3(Address[2]), 
        .ADA2(Address[1]), .ADA1(Address[0]), .ADA0(scuba_vlo), .CEA(OutClockEn), 
        .OCEA(OutClockEn), .CLKA(OutClock), .WEA(scuba_vlo), .CSA2(scuba_vlo), 
        .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), 
        .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), 
        .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), 
        .ADB12(scuba_vlo), .ADB11(scuba_vlo), .ADB10(scuba_vlo), .ADB9(scuba_vlo), 
        .ADB8(scuba_vlo), .ADB7(scuba_vlo), .ADB6(scuba_vlo), .ADB5(scuba_vlo), 
        .ADB4(scuba_vlo), .ADB3(scuba_vlo), .ADB2(scuba_vlo), .ADB1(scuba_vlo), 
        .ADB0(scuba_vlo), .CEB(scuba_vhi), .OCEB(scuba_vhi), .CLKB(scuba_vlo), 
        .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), 
        .RSTB(scuba_vlo), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), 
        .DOA2(), .DOA1(Q[3]), .DOA0(Q[2]), .DOB8(), .DOB7(), .DOB6(), .DOB5(), 
        .DOB4(), .DOB3(), .DOB2(), .DOB1(), .DOB0())
             /* synthesis MEM_LPC_FILE="fontrom.lpc" */
             /* synthesis MEM_INIT_FILE="font256x16l.mem" */;
 
    defparam fontrom_0_2_1.INIT_DATA = "STATIC" ;
    defparam fontrom_0_2_1.ASYNC_RESET_RELEASE = "SYNC" ;
    defparam fontrom_0_2_1.INITVAL_1F = "0x00000000000003F1FE0000000064DC00000054A40007A100000000100000000010800000000006AC" ;
    defparam fontrom_0_2_1.INITVAL_1E = "0x00007038000001418A00000D50AA550AA550AA40000C11DA00000CD002C0000C10EA000003018600" ;
    defparam fontrom_0_2_1.INITVAL_1D = "0x00000000C00007A174D00002F0B8000000D0B800000EA162D0000AA100B0000E0184C0000DE15AC0" ;
    defparam fontrom_0_2_1.INITVAL_1C = "0x000550AE00000AE15400000D50B800000CB0F0C0000AA1560000000000300000002030000D50B800" ;
    defparam fontrom_0_2_1.INITVAL_1B = "0x0000007EFF00000000001FEFF1FEFF1FEFF180001FEFF1FEFF0AA5508000000001AA550AA551BA55" ;
    defparam fontrom_0_2_1.INITVAL_1A = "0x1FEFF1FEFF1FEFF180000AA550A800000000AA55000001FEFF1FEFF180000AA5519800000001FEFF" ;
    defparam fontrom_0_2_1.INITVAL_19 = "0x0000019A551FEFF19EFF00000198001FEFF1FEFF1FEFF198000000019EFF1FEFF1F800000001FEFF" ;
    defparam fontrom_0_2_1.INITVAL_18 = "0x1FEFF1FEFF0AA550AA550AA551AA5500000180000AA550AA550AA5518000000001AA55000000AA55" ;
    defparam fontrom_0_2_1.INITVAL_17 = "0x0AA5518000000001BA55000001FEFF0000019EFF1FEFF198001FEFF1FEFF1FEFF19EFF0AA551B800" ;
    defparam fontrom_0_2_1.INITVAL_16 = "0x1FEFF180001FEFF1FEFF0AA551BA550AA551AA550AA550AA551BADD1BADD13299132990221102211" ;
    defparam fontrom_0_2_1.INITVAL_15 = "0x000061C8000000E0D8000007F0A850000D21A000008121A00000000060000000006000000C0178F0" ;
    defparam fontrom_0_2_1.INITVAL_14 = "0x00000066AC00000066AC000000FC07000AA14870000C0000B4000C0018B4000D50B8D0000C0198B4" ;
    defparam fontrom_0_2_1.INITVAL_13 = "0x01A550EA50000EA156AC000570EE80000EA15CAC0005C000D4000C000000000C0000C0060C000000" ;
    defparam fontrom_0_2_1.INITVAL_12 = "0x000C000078000C00003C000C001878000C001800000C0018B400000060B0000D70F000000EA1D62D" ;
    defparam fontrom_0_2_1.INITVAL_11 = "0x000030163B0000301640000D50B878000D50B8B4000D50B820000C019878000C019800000C0198B4" ;
    defparam fontrom_0_2_1.INITVAL_10 = "0x0060E15600000C0198EC000C019878000C019800000C0198B4000C0198D0000C00000001838000B0" ;
    defparam fontrom_0_2_1.INITVAL_0F = "0x0003005A000000000070000D508A700005508A50000150EA40000CB09800060C0000000002D1C000" ;
    defparam fontrom_0_2_1.INITVAL_0E = "0x000B5000000007800000000C0000000007F1FED0000C311800000EA16800020C001800074EA14800" ;
    defparam fontrom_0_2_1.INITVAL_0D = "0x000C001800000AA14800000550F000000D50AA70000AB1D4A00740000000000D50B850000AA174A0" ;
    defparam fontrom_0_2_1.INITVAL_0C = "0x060C001800000EA174B0000C019800000C005810000C001800000EA15CA0000C019800000000001F" ;
    defparam fontrom_0_2_1.INITVAL_0B = "0x0180000000000000002D000C000030000011F000000FF1FEF0000C21A030000D50F0000000B0BC00" ;
    defparam fontrom_0_2_1.INITVAL_0A = "0x000AD080000007800000000C000000000D50AA70000C007030000AA174B0000D400030000EA174B0" ;
    defparam fontrom_0_2_1.INITVAL_09 = "0x000C0000300000003E800000003E80000EA154B0000AA1F4A0000C000010000D50AA700000006000" ;
    defparam fontrom_0_2_1.INITVAL_08 = "0x000E0080B0000EA174B0000EA174B0000EA154B0000E0000B0000EA174B000000184D0000C50A0C0" ;
    defparam fontrom_0_2_1.INITVAL_07 = "0x000510A030000B400E8000003018000000716800000D400A000001400A00000C006030000C006030" ;
    defparam fontrom_0_2_1.INITVAL_06 = "0x000FF08030000C0060B0000C0060300004019640000C006030000C21A030000D50AED0000E00A0B0" ;
    defparam fontrom_0_2_1.INITVAL_05 = "0x0000B08000000500000000000180000065400000000051A8000000B1F000000D0000700001F1FE40" ;
    defparam fontrom_0_2_1.INITVAL_04 = "0x00000004FC000C00FCB00000B0800000AC006035000AE15C8000000004A8000510BED00000000000" ;
    defparam fontrom_0_2_1.INITVAL_03 = "0x0001F1FE000003F1FA000000A1D0000000C000000000E1D80000004188000007D0AA50000550AED0" ;
    defparam fontrom_0_2_1.INITVAL_02 = "0x000DF0AED0000FF000000060E0168C000550EA70000A2154A00001F0AED0000011FA000000B1FE80" ;
    defparam fontrom_0_2_1.INITVAL_01 = "0x0005716A40000AA156B0000BF1FEF00005D1D4B0000C007A101FEC71A6FF00038058001FEF805EFF" ;
    defparam fontrom_0_2_1.INITVAL_00 = "0x000071A000000D71FE40000D615E400001F1FA000007F1FC00000FE07AF0000C1184300000000000" ;
    defparam fontrom_0_2_1.CSDECODE_B = "0b111" ;
    defparam fontrom_0_2_1.CSDECODE_A = "0b000" ;
    defparam fontrom_0_2_1.WRITEMODE_B = "NORMAL" ;
    defparam fontrom_0_2_1.WRITEMODE_A = "NORMAL" ;
    defparam fontrom_0_2_1.GSR = "ENABLED" ;
    defparam fontrom_0_2_1.RESETMODE = "ASYNC" ;
    defparam fontrom_0_2_1.REGMODE_B = "NOREG" ;
    defparam fontrom_0_2_1.REGMODE_A = "NOREG" ;
    defparam fontrom_0_2_1.DATA_WIDTH_B = 2 ;
    defparam fontrom_0_2_1.DATA_WIDTH_A = 2 ;
    DP8KC fontrom_0_2_1 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), 
        .DIA5(scuba_vlo), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(scuba_vlo), 
        .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(Address[11]), .ADA11(Address[10]), 
        .ADA10(Address[9]), .ADA9(Address[8]), .ADA8(Address[7]), .ADA7(Address[6]), 
        .ADA6(Address[5]), .ADA5(Address[4]), .ADA4(Address[3]), .ADA3(Address[2]), 
        .ADA2(Address[1]), .ADA1(Address[0]), .ADA0(scuba_vlo), .CEA(OutClockEn), 
        .OCEA(OutClockEn), .CLKA(OutClock), .WEA(scuba_vlo), .CSA2(scuba_vlo), 
        .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), 
        .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), 
        .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), 
        .ADB12(scuba_vlo), .ADB11(scuba_vlo), .ADB10(scuba_vlo), .ADB9(scuba_vlo), 
        .ADB8(scuba_vlo), .ADB7(scuba_vlo), .ADB6(scuba_vlo), .ADB5(scuba_vlo), 
        .ADB4(scuba_vlo), .ADB3(scuba_vlo), .ADB2(scuba_vlo), .ADB1(scuba_vlo), 
        .ADB0(scuba_vlo), .CEB(scuba_vhi), .OCEB(scuba_vhi), .CLKB(scuba_vlo), 
        .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), 
        .RSTB(scuba_vlo), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), 
        .DOA2(), .DOA1(Q[5]), .DOA0(Q[4]), .DOB8(), .DOB7(), .DOB6(), .DOB5(), 
        .DOB4(), .DOB3(), .DOB2(), .DOB1(), .DOB0())
             /* synthesis MEM_LPC_FILE="fontrom.lpc" */
             /* synthesis MEM_INIT_FILE="font256x16l.mem" */;
 
    VHI scuba_vhi_inst (.Z(scuba_vhi));
 
    VLO scuba_vlo_inst (.Z(scuba_vlo));
 
    defparam fontrom_0_3_0.INIT_DATA = "STATIC" ;
    defparam fontrom_0_3_0.ASYNC_RESET_RELEASE = "SYNC" ;
    defparam fontrom_0_3_0.INITVAL_1F = "0x0000000000000150AA000000007A340000002A5C0000518000000000000000000000000000000050" ;
    defparam fontrom_0_3_0.INITVAL_1E = "0x0000D0680000000080000007F00000000000000000040020000004000000000C0020000003018600" ;
    defparam fontrom_0_3_0.INITVAL_1D = "0x000FF1FE40000050AA00000D71E800000071E8000001508000000D50FE400001F1FA00000410A040" ;
    defparam fontrom_0_3_0.INITVAL_1C = "0x0000001A00006550AA000007F1E800000F400EC0000550AE00000FF1FEF0000FF1FED00007F1E800" ;
    defparam fontrom_0_3_0.INITVAL_1B = "0x0000007EFF00000000001FEFF1FEFF1FEFF180001FEFF1FEFF000000000000000180000000019800" ;
    defparam fontrom_0_3_0.INITVAL_1A = "0x00000180000000000000000000000000000000000000000000000001800000000198000000018000" ;
    defparam fontrom_0_3_0.INITVAL_19 = "0x00000198000000019800000001980000000000000000019800000001980000000000000000000000" ;
    defparam fontrom_0_3_0.INITVAL_18 = "0x00000000000000000000000001800000000180000000000000000001800000000180000000000000" ;
    defparam fontrom_0_3_0.INITVAL_17 = "0x00000180000000019800000001800000000198000000019800000000000000000198000000019800" ;
    defparam fontrom_0_3_0.INITVAL_16 = "0x000001800000000180000000019800000001800000000000000EE770EE7713299132990884408844" ;
    defparam fontrom_0_3_0.INITVAL_15 = "0x0000D03800000011A00000000000000002D01EFC0002D01EFC00000060000003F1E0000007F08000" ;
    defparam fontrom_0_3_0.INITVAL_14 = "0x00000020500000002050000FF1FECD000550B8D00007F1F8400007F1E84000000000000007F08840" ;
    defparam fontrom_0_3_0.INITVAL_13 = "0x00E0002000000D50AA5C0000306070000F50BA50000071FE400007F1FECC0007F1FE4C0207F1F830" ;
    defparam fontrom_0_3_0.INITVAL_12 = "0x0007F1F8040007F1F8D00007F1E8040007F1E8300007F1E840000FF1FE400007D00800000D50AE10" ;
    defparam fontrom_0_3_0.INITVAL_11 = "0x000FF1E804000FF1E80C0000000004000000004000000000100007F1E8040007F1E8300007F1E840" ;
    defparam fontrom_0_3_0.INITVAL_10 = "0x000010A8000007F088100007F088040007F088300007F088400007F1E8000007F1F830008071FE40" ;
    defparam fontrom_0_3_0.INITVAL_0F = "0x0003F1A00000000000D0000400001000000000000000002000000F4078000607F1F800000D003800" ;
    defparam fontrom_0_3_0.INITVAL_0E = "0x0007F1F800000071F8000007F1F8000000001800000700E800000D50B8000007F1E80006A550B800" ;
    defparam fontrom_0_3_0.INITVAL_0D = "0x0007F1E800000550B800000FF1F8000000000000000D50AA7000A00000000000000000000D50AA70" ;
    defparam fontrom_0_3_0.INITVAL_0C = "0x0387F1E800000D50EA400007F1E8000007F1A0000007F1E800000550AA700007F088000000000000" ;
    defparam fontrom_0_3_0.INITVAL_0B = "0x018000000000000000D000000000000000003E800000000000000FD004F00000000EF0000F4002F0" ;
    defparam fontrom_0_3_0.INITVAL_0A = "0x0005F1FEF0000071FEF00007F1FEF000000004F00007C00ED0000D50AA700007F1FED0000D50AA70" ;
    defparam fontrom_0_3_0.INITVAL_09 = "0x0007F1FED0000FF1FEF0000FF1FEF0000D50AA70000D50AA700007F000000000000000000FF1FEF0" ;
    defparam fontrom_0_3_0.INITVAL_08 = "0x0001F1FE40000D50AA70000D50AA70000D50AA700001F1FE40000D50AA70000FF1FA000007F1FE40" ;
    defparam fontrom_0_3_0.INITVAL_07 = "0x00000006D0000400004000001008000000008000000000000000000000000004003ED00007F1BED0" ;
    defparam fontrom_0_3_0.INITVAL_06 = "0x00000000F00007F1FE400007007EF0000001E80000070000D0000FD000D000040002000001F1FE40" ;
    defparam fontrom_0_3_0.INITVAL_05 = "0x000B4000000000000000000001800000000000000000008000000041880000000000000000000000" ;
    defparam fontrom_0_3_0.INITVAL_04 = "0x00000002000007F1A240000B401E000007803ED00005D0BA40000000005400000000000000000000" ;
    defparam fontrom_0_3_0.INITVAL_03 = "0x000000BE000003D08000000011A0000000F1E000000011A000000001800000004000000000000200" ;
    defparam fontrom_0_3_0.INITVAL_02 = "0x0004100200000FF00000002C11E8740000003ED0000510AA5000001002000000006000000BF1FEF8" ;
    defparam fontrom_0_3_0.INITVAL_01 = "0x0000C19800006F50AA50000F4000000000402A400007F1A0001FEFA15EFF000050A0001FEFF1FEFF" ;
    defparam fontrom_0_3_0.INITVAL_00 = "0x0000000000000011E800000031E000000011A000000071FA000007F1FED00006A154900000000000" ;
    defparam fontrom_0_3_0.CSDECODE_B = "0b111" ;
    defparam fontrom_0_3_0.CSDECODE_A = "0b000" ;
    defparam fontrom_0_3_0.WRITEMODE_B = "NORMAL" ;
    defparam fontrom_0_3_0.WRITEMODE_A = "NORMAL" ;
    defparam fontrom_0_3_0.GSR = "ENABLED" ;
    defparam fontrom_0_3_0.RESETMODE = "ASYNC" ;
    defparam fontrom_0_3_0.REGMODE_B = "NOREG" ;
    defparam fontrom_0_3_0.REGMODE_A = "NOREG" ;
    defparam fontrom_0_3_0.DATA_WIDTH_B = 2 ;
    defparam fontrom_0_3_0.DATA_WIDTH_A = 2 ;
    DP8KC fontrom_0_3_0 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), 
        .DIA5(scuba_vlo), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(scuba_vlo), 
        .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(Address[11]), .ADA11(Address[10]), 
        .ADA10(Address[9]), .ADA9(Address[8]), .ADA8(Address[7]), .ADA7(Address[6]), 
        .ADA6(Address[5]), .ADA5(Address[4]), .ADA4(Address[3]), .ADA3(Address[2]), 
        .ADA2(Address[1]), .ADA1(Address[0]), .ADA0(scuba_vlo), .CEA(OutClockEn), 
        .OCEA(OutClockEn), .CLKA(OutClock), .WEA(scuba_vlo), .CSA2(scuba_vlo), 
        .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), 
        .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), 
        .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), 
        .ADB12(scuba_vlo), .ADB11(scuba_vlo), .ADB10(scuba_vlo), .ADB9(scuba_vlo), 
        .ADB8(scuba_vlo), .ADB7(scuba_vlo), .ADB6(scuba_vlo), .ADB5(scuba_vlo), 
        .ADB4(scuba_vlo), .ADB3(scuba_vlo), .ADB2(scuba_vlo), .ADB1(scuba_vlo), 
        .ADB0(scuba_vlo), .CEB(scuba_vhi), .OCEB(scuba_vhi), .CLKB(scuba_vlo), 
        .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), 
        .RSTB(scuba_vlo), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), 
        .DOA2(), .DOA1(Q[7]), .DOA0(Q[6]), .DOB8(), .DOB7(), .DOB6(), .DOB5(), 
        .DOB4(), .DOB3(), .DOB2(), .DOB1(), .DOB0())
             /* synthesis MEM_LPC_FILE="fontrom.lpc" */
             /* synthesis MEM_INIT_FILE="font256x16l.mem" */;
 
 
 
    // exemplar begin
    // exemplar attribute fontrom_0_0_3 MEM_LPC_FILE fontrom.lpc
    // exemplar attribute fontrom_0_0_3 MEM_INIT_FILE font256x16l.mem
    // exemplar attribute fontrom_0_1_2 MEM_LPC_FILE fontrom.lpc
    // exemplar attribute fontrom_0_1_2 MEM_INIT_FILE font256x16l.mem
    // exemplar attribute fontrom_0_2_1 MEM_LPC_FILE fontrom.lpc
    // exemplar attribute fontrom_0_2_1 MEM_INIT_FILE font256x16l.mem
    // exemplar attribute fontrom_0_3_0 MEM_LPC_FILE fontrom.lpc
    // exemplar attribute fontrom_0_3_0 MEM_INIT_FILE font256x16l.mem
    // exemplar end
 
endmodule
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.