OpenCores
URL https://opencores.org/ocsvn/Aquarius/Aquarius/trunk

Subversion Repositories Aquarius

[/] [Aquarius/] [trunk/] [fpga/] [top.ucf] - Rev 12

Compare with Previous | Blame | View Log

##############################################
#      BASIC UCF SYNTAX EXAMPLES V2.1.5      #
##############################################
#
#              TIMING SPECIFICATIONS
#
# Timing specifications can be applied to the entire device (global) or to
# specific groups of login in your PLD design (called "time groups').
# The time groups are declared in two basic ways.
#
# Method 1: Based on a net name, where 'my_net' is a net that touchs all the
#           logic to be grouped in to 'logic_grp'. Example:
#NET my_net TNM_NET = logic_grp ;
#
# Method 2: Group uing the key word 'TIMEGRP' and declare using the names of
#           logic in your design. Example:
#TIMEGRP group_name = FFS ("U1/*");
#           creates a group called 'group_name' for all flip-flops with in
#           the hierarchical block called U1. Wildcards are valid.
#
# Grouping is very important because it lets you tell the software which parts
# of a design run at which speeds.  For the majority of the designs with only
# one clock the very simple global constraints.
#
# The type of grouping constraint you use can vary depending on the synthesis
# tools you are using.  For example, Synplicity does well with Method 1, while
# FPGA Express does beter with Method 2.
#
#
############################################################
# Internal to the device clock speed specifications - Tsys #
############################################################
#
# data      _________      /^^^^^\       _________   out
# ----------| D   Q |-----{ LOGIC } -----| D   Q |------
#           |       |      \vvvvv/       |       |
#        ---|> CLK  |                 ---|> CLK  |
# clock  |  ---------                 |  ---------
# ------------------------------------
#
# ---------------
# Single Clock
# ---------------
#
# ----------------
# PERIOD TIME-SPEC
# ----------------
# The PERIOD spec. covers all timing paths that start or end at a
# register, latch, or synchronous RAM which are clocked by the reference
# net (excluding pad destinations).  Also covered is the setup
# requirement of the synchronous element relative to other elements
# (ex. flip flops, pads, etc...).
# NOTE:  The default unit for time is nanoseconds.
#
#NET clock PERIOD = 50ns ;
#
#       -OR-
#
# ------------------
# FROM:TO TIME-SPECs
# ------------------
# FROM:TO style timespecs can be used to constrain paths between time
# groups.  NOTE:  Keywords:  RAMS, FFS, PADS, and LATCHES are predefined
# time groups used to specify all elements of each type in a design.
#TIMEGRP RFFS = RISING FFS ("*");  // creates a rising group called RFFS
#TIMEGRP FFFS = FALLING FFS ("*");  // creates a falling group called FFFS
#TIMESPEC TSF2F  = FROM : FFS   : TO : FFS   : 50 ns; // Flip-flips with the same edge
#TIMESPEC TSR2F  = FROM : RFFS  : TO : FFFS  : 25 ns; // rising edge to falling edge
#TIMESPEC TSF2R  = FROM : FFFS  : TO : RFFS  : 25 ns; // falling edge to rising edge
#
# ---------------
# Multiple Clocks
# ---------------
# Requires a combination of the 'Period' and 'FROM:TO' type time specifications
#NET clock1 TNM_NET = clk1_grp ;
#NET clock2 TNM_NET = clk2_grp ;
#
#TIMESPEC TS_clk1 = PERIOD : clk1_grp : 50 ;
#TIMESPEC TS_clk2 = PERIOD : clk2_grp : 30 ;
#TIMESPEC TS_ck1_2_ck2 = FROM : clk1_grp : TO : clk2_grp : 50 ;
#TIMESPEC TS_ck2_2_ck1 = FROM : clk2_grp : TO : clk1_grp : 30 ;
#
#
############################################################
# CLOCK TO OUT specifications - Tco                        #
############################################################
#
# from      _________      /^^^^^\       --------\
# ----------| D   Q |-----{ LOGIC } -----| Pad    >
# PLD       |       |      \vvvvv/       --------/
#        ---|> CLK  |
# clock  |  ---------
# --------
#
# ----------------
# OFFSET TIME-SPEC
# ----------------
# To automatically include clock buffer/routing delay in your
# clock-to-out timing specifications, use OFFSET constraints .
# For an output where the maximum clock-to-out (Tco) is 25 ns:
#NET out_net_name OFFSET = OUT 25 AFTER clock_net_name ;
#
#      -OR-
#
# ------------------
# FROM:TO TIME-SPECs
# ------------------
#TIMESPEC TSF2P  = FROM : FFS   : TO : PADS  : 25 ns;
# Note that FROM: FFS : TO: PADS constraints start the delay analysis
# at the flip flop itself, and not the clock input pin.  The recommended
# method to create a clock-to-out constraint is to use an OFFSET constraint.
#
#
############################################################
# Pad to Flip-Flop speed specifications - Tsu              #
############################################################
#
# ------\         /^^^^^\       _________   into PLD
# |pad   >-------{ LOGIC } -----| D   Q |------
# ------/         \vvvvv/       |       |
#                            ---|> CLK  |
# clock                      |  ---------
# ----------------------------
#
# ----------------
# OFFSET TIME-SPEC
# ----------------
# To automatically account for clock delay in your input setup timing
# specifications, use OFFSET constraints.
# For an input where the maximum setup time is 25 ns:
#NET in_net_name OFFSET = IN 25 BEFORE clock_net_name ;
#
#      -OR-
#
# ------------------
# FROM:TO TIME-SPECs
# ------------------
#TIMESPEC TSP2F  = FROM : PADS  : TO : FFS   : 25 ns;
# Note that FROM: PADS : TO: FFS constraints do not take into account any
# delay for the clock path.  The recommended method to create an input
# setup time constraint is to use an OFFSET constraint.
#
#
############################################################
# Pad to Pad speed specifications - Tpd                    #
############################################################
#
# ------\         /^^^^^\       -------\
# |pad   >-------{ LOGIC } -----| pad   >
# ------/         \vvvvv/       -------/
#
# ------------------
# FROM:TO TIME-SPECs
# ------------------
#TIMESPEC TSP2P  = FROM : PADS  : TO : PADS  : 125 ns;
#
#
############################################################
# Other timing specifications                              #
############################################################
#
# -------------
# TIMING IGNORE
# -------------
# If you can ignore timing of paths, use Timing Ignore (TIG). NOTE: The
# "*" character is a wild-card which can be used for bus names.  A "?"
# character can be used to wild-card one character.
# Ignore timing of net reset_n:
#NET : reset_n : TIG ;
#
# Ignore data_reg(7:0) net in instance mux_mem:
#NET : mux_mem/data_reg* : TIG ;
#
# Ignore data_reg(7:0) net in instance mux_mem as related to a TIMESPEC
# named TS01 only:
#NET : mux_mem/data_reg* : TIG = TS01 ;
#
# Ignore data1_sig and data2_sig nets:
#NET : data?_sig : TIG ;
#
# ---------------
# PATH EXCEPTIONS
# ---------------
# If your design has outputs that can be slower than others, you can
# create specific timespecs similar to this example for output nets
# named out_data(7:0) and irq_n:
#TIMEGRP slow_outs = PADS(out_data* : irq_n) ;
#TIMEGRP fast_outs = PADS : EXCEPT : slow_outs ;
#TIMESPEC TS08 = FROM : FFS : TO : fast_outs : 22 ;
#TIMESPEC TS09 = FROM : FFS : TO : slow_outs : 75 ;
#
# If you have multi-cycle FF to FF paths, you can create a time group
# using either the TIMEGRP or TNM statements.
#
# WARNING:  Many VHDL/verilog synthesizers do not predictably name flip
# flop Q output nets.  Most synthesizers do assign predictable instance
# names to flip flops, however.
#
# TIMEGRP example:
#TIMEGRP slowffs = FFS(inst_path/ff_q_output_net1* :
#inst_path/ff_q_output_net2*);
#
# TNM attached to instance example:
#INST inst_path/ff_instance_name1_reg* TNM = slowffs ;
#INST inst_path/ff_instance_name2_reg* TNM = slowffs ;
#
# If a FF clock-enable is used on all flip flops of a multi-cycle path,
# you can attach TNM to the clock enable net.  NOTE:  TNM attached to a
# net "forward traces" to any FF, LATCH, RAM, or PAD attached to the
# net.
#NET ff_clock_enable_net TNM = slowffs ;
#
# Example of using "slowffs" timegroup, in a FROM:TO timespec, with
# either of the three timegroup methods shown above:
#TIMESPEC TS10 = FROM : slowffs : TO : FFS : 100 ;
#
# Constrain the skew or delay associate with a net.
#NET any_net_name MAXSKEW = 7 ;
#NET any_net_name MAXDELAY = 20 ns;
#
#
# Constraint priority in your .ucf file is as follows:
#
#    highest 1.  Timing Ignore (TIG)
#                 2.  FROM : THRU : TO specs
#             3.  FROM : TO specs
#    lowest  4.  PERIOD specs
#
# See the on-line "Library Reference Guide" document for
# additional timespec features and more information.
#
#
############################################################
#                                                                                                                    #
#         LOCATION and ATTRIBUTE SPECIFICATIONS                        #
#                                                                                                                    #
############################################################
# Pin and CLB location locking constraints                 #
############################################################
#
# -----------------------
# Assign an IO pin number
# -----------------------
#INST io_buf_instance_name  LOC = P110 ;
#NET io_net_name  LOC = P111 ;
#
# -----------------------
# Assign a signal to a range of I/O pins
# -----------------------
#NET "signal_name" LOC=P32, P33, P34;
#
# -----------------------
# Place a logic element(called a BEL) in a specific CLB location.  BEL = FF, LUT, RAM, etc...
# -----------------------
#INST instance_path/BEL_inst_name  LOC = CLB_R17C36 ;
#
# -----------------------
# Place CLB in rectangular area from CLB R1C1 to CLB R5C7
# -----------------------
#INST /U1/U2/reg<0> LOC=clb_r1c1:clb_r5c7;
#
# -----------------------
# Place Heirarchial logic block in rectangular area from CLB R1C1 to CLB R5C7
# -----------------------
#INST /U1* LOC=clb_r1c1:clb_r5c7;
#
# -----------------------
# Prohibit IO pin P26 or CLBR5C3 from being used:
# -----------------------
#CONFIG PROHIBIT = P26 ;
#CONFIG PROHIBIT = CLB_R5C3 ;
# Config Prohibit is very important for frocing the software to not use critical
# configuration pins like INIT or DOUT on the FPGA.  The Mode pins and JTAG
# Pins require a special pad so they will not be availabe to this constraint
#
# -----------------------
# Assign an OBUF to be FAST or SLOW:
# -----------------------
#INST obuf_instance_name FAST ;
#INST obuf_instance_name SLOW ;
#
# -----------------------
# FPGAs only:  IOB input Flip-flop delay specifcation
# -----------------------
# Declare an IOB input FF delay (default = MAXDELAY).
# NOTE:  MEDDELAY/NODELAY can be attached to a CLB FF that is pushed
# into an IOB by the "map -pr i" option.
#INST input_ff_instance_name MEDDELAY ;
#INST input_ff_instance_name NODELAY ;
#
# -----------------------
# Assign Global Clock Buffers Lower Left Right Side
# -----------------------
# INST gbuf1 LOC=SSW
#
NET "CLK_SRC" TNM_NET = "CLK_SRC";
TIMESPEC "TS_CLK_SRC" = PERIOD "CLK_SRC" 50 ns HIGH 50 %;
NET "CLK_SRC" LOC = "p92";
NET "RST_n" LOC = "p42";
NET "TXD" LOC = "p46";
NET "RXD" LOC = "p47";
NET "RTS" LOC = "p48";
NET "CTS" LOC = "p49";
NET "LCDRW" LOC = "p4";
NET "LCDRS" LOC = "p3";
NET "LCDE" LOC = "p5";
NET "LCDDBO<7>" LOC = "p17";
NET "LCDDBO<6>" LOC = "p13";
NET "LCDDBO<5>" LOC = "p12";
NET "LCDDBO<4>" LOC = "p11";
NET "LCDDBO<3>" LOC = "p10";
NET "LCDDBO<2>" LOC = "p9";
NET "LCDDBO<1>" LOC = "p7";
NET "LCDDBO<0>" LOC = "p6";
NET "LCDDBI<7>" LOC = "p27";
NET "LCDDBI<6>" LOC = "p26";
NET "LCDDBI<5>" LOC = "p24";
NET "LCDDBI<4>" LOC = "p23";
NET "LCDDBI<3>" LOC = "p21";
NET "LCDDBI<2>" LOC = "p20";
NET "LCDDBI<1>" LOC = "p19";
NET "LCDDBI<0>" LOC = "p18";
NET "KEYYO<4>" LOC = "p28";
NET "KEYYO<3>" LOC = "p31";
NET "KEYYO<2>" LOC = "p33";
NET "KEYYO<1>" LOC = "p34";
NET "KEYYO<0>" LOC = "p35";
NET "KEYXI<4>" LOC = "p41";
NET "KEYXI<3>" LOC = "p40";
NET "KEYXI<2>" LOC = "p39";
NET "KEYXI<1>" LOC = "p38";
NET "KEYXI<0>" LOC = "p36";
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_02 = 21212121212121217150217170715021d12faf405f6000d00000000000000000;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_03 = 6765636100d02f2f2f2f2f2f2f4f4f4faf402171002171002160212121212121;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_04 = 000000004f4f6e6c6a6866646260402f2f2f2f2f2f2f2f4f4f004f4f4f6d6b69;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_06 = 0000000000000000ffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_08 = 0000004f7e484100d841d1417fe62f2f000000000000000000000000eed8e8d8;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_09 = 417fe62f2f000000feab0000686e6f0000d14865e42361d3417fe62f2f000000;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_0A = 00000000004f7e484100d841d1417fe62f2f0000000000004f7e484100d841d1;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_0B = 6148d56a487f4f2f2f2f2f2f0000000000004f7e484100d841d1417fe62f2f00;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_0C = 21614be46464d841d1e14848ea7232d165e448d84c00d14100d148d56d48d5e0;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_0D = 0000000000007a2a7a6a6a00d1af4ae14c4be100d1000000000132e132e164d1;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_0E = 123264d5920e66d59066647b646e66ea2f2fd8d52f0000000000000000000000;
INST "MEMORY_Mram_RAM0HH_inst_ramb_0" INIT_0F = 640e6690641232d59048669d4831d591486472624866d5920e66d5900e66d590;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_02 = 827262524232220204f102040804f202bf06f70b111209c10000000000000000;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_03 = f6f6f6f609921636567696b6d6220213b30b02041202040a02f3e2d2c2b2a292;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_04 = 0000002b2716f6f6f6f6f6f6f6f60b0626466686a6c6e6122309170626f6f6f6;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_06 = 0000000000000000ffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_08 = 00000b26140b0b09090b0a0bec11e68600000000000000000909090900050008;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_09 = 0bec11e686000000ffcd0000f6f6e309090b0be31012320d0bec11e686000000;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_0A = 000000000b26140b0b09090b0a0bec11e68600000000000b26140b0b09090b0a;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_0B = e30b3ee30bb022e6c6a6968600000000000b26140b0b09090b0a0bec11e68600;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_0C = 92e30b1093a32d0b2efc0b0b00011733a3100b360b09370b09380b39e30b3b4c;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_0D = 0000000000000412fc82030916c70bfe0b0bfc091d3e3a3010232cfc16078327;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_0E = 07ec0378c616a37bcda3b314e3f3a311d6b68484860000000000001e00000000;
INST "MEMORY_Mram_RAM0HL_inst_ramb_0" INIT_0F = 2326938d030dec63950b939a0bec68a00b237ce30b936eac16a371b626a374bd;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_00 = 0101010101010101010101010101010101010101010101010101010140044004;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_01 = 0202020202020202020202020202020202020202020202020202020202020202;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_02 = 71717171717171715021710021602171502f0000d040d1400101010101010101;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_03 = 6866646260402f2f2f2f2f2f2f2f4f4f0000d000217100217170717171717171;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_04 = 000000004f4f4f6d6b696765636100d02f2f2f2f2f2f2f4f4f4f004f4f6e6c6a;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_06 = 0000000000000000ffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_08 = 1516686e6f0000d14865e4646ed14fd5030404040505000500000000af484848;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_09 = 646ed14fd50f0f13ff02151600004f7e484100d841d121d2646ed14fd50f0f13;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_0A = 0f131516686e6f0000d14865e4646ed14fd50f0f131516686e6f0000d14865e4;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_0B = 7166647a646e66e92f2fd8d50f0f131516686e6f0000d14865e4646ed14fd50f;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_0C = 687105e0db4848e4692ae4e4d84c8f72e24865e400dc4100d14166647d64e60e;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_0D = 14171717151600af61afafaf41e1002a0065e4af410000ff000001c78d726241;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_0E = 624866e970604864716148d56b483f4f2f2f2f2f930d070c12131284130f1112;
INST "MEMORY_Mram_RAM0LH_inst_ramb_0" INIT_0F = 914832d59248306692643dd5640e66900e66d590123264706048647161486472;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_00 = 2e2e2e2e2e2e2e2e2e2e2e2e2e2e2e2e2e2e2e2e382e2e2e2e202e2000000000;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_01 = 1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a1a;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_02 = 0404040404040404f002042a02f30204f3160909bf2bbf0bc0c0c0c0c0c0c0c0;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_03 = f6f6f6f6f60b0626466686a6c6e6122309099e2202041a020408040404040404;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_04 = 00000009170626f6f6f6f6f6f6f6097d1636567696b6d62202132b2716f6f6f6;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_06 = 0000000000000000ffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_08 = f490f6f6e30909090be310e3f30c220d00ec408c843800d009090909fe0b0e2e;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_09 = e3f30c220d6a980cff00f4a4090b26140b0b090c0b0d290ee3f30f22106a980c;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_0A = 980cf4ccf6f6e30909090be310e3f30c220d6a980cf4b8f6f6e30909090be310;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_0B = 2893a314e3f39311d6b645456a980cf4e0f6f6e30909090be310e3f30c220d6a;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_0C = 1348ee4c270b0b00a2191000310bfd01000be30009370b09380b93d334130916;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_0D = 64281c08f4f409b982bdbfc10bfc091909d310d20b4246bc1a092d02e4f0030b;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_0E = e30b93093ce30b1328e30b7ee30b3822e6c6a696e3802cac4c6cdc7f0c98f820;
INST "MEMORY_Mram_RAM0LL_inst_ramb_0" INIT_0F = 870bec608d0bec938dd3ec66131693a026936ba60aec0370e30b135ce30b2348;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_00 = 306692640e6690640e6690641232d5904831d5914832d59248306692640e6690;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_01 = 0102a032d59248306692640e6690640e6690641232d5904831d5914832d59248;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_02 = 0000000000000000000000000000000101010101010101000000000000000001;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_03 = 00db4a65e4640e6690641232d5904831d5914800000000000000000000000000;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_04 = 4b4c4905e400544a904b4c4965e44905e4000000544a9049d905e4000088884c;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_05 = e400544a904b4c4905e400544a904b4c4905e400544a904b4c4905e400544a90;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_06 = 904b4c4905e400544a904b4c4905e400544a904b4c4905e400544a904b4c4905;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_07 = 544a904b4c4905e400544a0000000000000001010101010101010101010101a0;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_08 = 6d4f3e29d2000590a019010005908f643262609805e405908fa04b4c4905e400;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_09 = 4164d18de300d141e4d12de4d3416ee46c2f2f2f2ffe0100ab0101010168696b;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_0A = 0000000000000000006a6c6e6fe4d38fe16d432341618d722c28656865e44969;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_0B = 8848884865e465e410e21030e148d5e06348d5e06148d56a487f4f2f2f2f2f2f;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_0C = e0e40ee38d6038e263417a4968634d49e3326b21e08fe168634d7968eadd8f6c;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_0D = 2fd82f000000000000000000000000006a6c6e6f008d0305e0e441e4d1a04105;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_0E = 00d1416e4f6e6f70a06e30606e6f706e30c92f000068696e6f3041646a64d948;
INST "MEMORY_Mram_RAM1HH_inst_ramb_0" INIT_0F = 2ce160492de160496ee4e12f2fd82f00006e4f8b9141e4d1a02f000000006f30;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_00 = ec934f13169360232693660303ec506e0bec53740bec567a0bec938113169387;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_01 = 7c005eec302a0bec931d131693382326933e0309ec3d460bec404c0bec43520b;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_02 = 00000000000000000000000000000060e8e4302418d0cce8dcd0c4b8ac9488a0;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_03 = 09730be300232693d7030fec7bde0bec7ee40b00000000000000000000000000;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_04 = 0b0b0bee1009840b9c0b0b0bd3100bee00090909810bb60b6cee00090902010b;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_05 = 10098c0b5b0b0b0bee10098a0b6b0b0b0bee1009880b7b0b0b0bee1009860b8c;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_06 = 1b0b0b0bee1009820b2b0b0b0bee1009800b3b0b0b0bee10098e0b4b0b0b0bee;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_07 = 860b4a0b0b0bee1009840b00000000000000ece4dcd4ccc4b8b0a8a484786c28;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_08 = f6267c120e09ee1a08118e09ee250c23ec83e330ee10ee36292b0b0b0bee1009;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_09 = 0bb31f160f09220b1821d801260bf31843d6b69686ff0000cda09094f8f6f6f6;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_0A = 00000000000000000bf6f6f6e30008c90f231a1921d30201821993c2930f08db;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_0B = 330b530ba310e300240115ec000b434ce30b4648e30b49e30ba422e6c6a69686;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_0C = 4c10360103c330ff030b01150c030b1500eca31850f6030c030bfb3300364e03;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_0D = e60c860000000000000000000000000bf6f6f6e3eea1eeee48180b1017100bee;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_0E = 09060bf322f6e3d001f3164cf6e337f3160fe60000f6f6f6e31c080303030a0b;
INST "MEMORY_Mram_RAM1HL_inst_ramb_0" INIT_0F = 09fb800b09f7800bf301efd6b660860000f626f6050b080603e60000ff0be310;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_00 = 1232d5904831d5914832d59248306692640e6690640e6690641232d5904831d5;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_01 = 0101640e6690641232d5904831d5914832d59248306692640e6690640e669064;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_02 = 1817171717171717171717171715170101010101010101000101010101000101;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_03 = dc4b65e44ada4832d59248306692640e66900018181818181818181818181818;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_04 = 000000544a904905e4000000544a00544a904b4c4905e40064984a904ba18d00;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_05 = 4a904905e4000000544a904905e4000000544a904905e4000000544a904905e4;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_06 = e4000000544a904905e4000000544a904905e4000078544a904905e400000054;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_07 = 4905e4000000544a904900120f0f131818180101010101010101010301010105;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_08 = 6c6e6f9721614b4a2161d2604b4ae48841d10851d94a904ae400000000544a90;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_09 = 64d141623b6b4100d1418bed4364d1414f2f2f2fd1ff000c020201010101006a;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_0A = 14130f0f0f12121368696b6d4f60432d3b3d0133e371614262284a64614ada79;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_0B = 8d008b00d840d043d341d110e066640e7364e60e7166647a646e66e92f2fd8d5;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_0C = 41d18de0218861686100d18d2a30798b1212e28d013a3b7b304aeb69634de088;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_0D = 4f2f2f12130f0e111311191818151868696b6d4f7ee023e042d264d141e000d1;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_0E = 9141e4d1a02f00c930e18fe12f00cba08fe1600f1100006a4f6041614948496e;
INST "MEMORY_Mram_RAM1LH_inst_ramb_0" INIT_0F = 28e4ec0028e4ed0028d94f2f2f2f2f0f1100006f3000d1416e4f0f11006e4f89;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_00 = 06ec475a0bec4a600bec4d660bec9368131693742326937a0300ec5a810bec5d;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_01 = 9c942326932a030cec34320bec37380bec3a3e0bec93361316934c2326935203;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_02 = 00f4e8dcd0c4b8ac9884786450f43cf054483cdcd80c00f4c4c0bcb8b4a0aca8;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_03 = 730bb3100b760bec78d70bec93de131693e4099c9084786c6054483c3024180c;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_04 = 090909850b960bee00090909830b09820bac0b0b0bee100982ba0bbd0b070209;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_05 = 0b550bee000909098b0b650bee00090909890b750bee00090909870b7c0bee00;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_06 = 00090909830b250bee00090909810b350bee000909408f0b450bee000909098d;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_07 = 0bee00090909850b540b094c6a980cc0b4a8f0e8e0d8d0c8c0b4ac00fcbcf4ee;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_08 = f6f6e31229920b0b2b9213e30b0b00170b1916911b0b340b0009090909870b44;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_09 = 031f0bd336030b09230b02000bc2270b22e6c6a62cff00ac00009c98fcf40bf6;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_0A = e040346a98a44c6cf6f6f6f626b30bd816181a3cfc082311d30b0bb3070b1d07;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_0B = 0309fb093c0b3d0b3f0b401640933336341309162893a314e3f39311d6b65050;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_0C = 0b18095418332a1c870923f7308cff0834b64005ee3c17018c180083030b5437;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_0D = 22a6964c6c6af4c40cf800f4e0f4ccf6f6f6f6265c5838540b10b3170b580919;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_0E = 050b080703e60b0f1cc90339e60b30010209434ec4090bf6260c08a30b0b0bf3;
INST "MEMORY_Mram_RAM1LL_inst_ramb_0" INIT_0F = 10011f0910011f09105d22e6c6a696c454ff0be31009060bf322c45409f626f6;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_00 = a0a01a16261200018978e1a0a0a0a00c0801c78d78e160492ae160492be16049;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_01 = e1a0a0a0a0a01814241001c78d78e1a0a0a0a0a01814241001c78d78e1a0a0a0;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_02 = 27008b72327289938de22f00ab68696b6d4f90a0a0a0a0a01a16261200018978;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_03 = 602fab6e6f2171206062718fc9d12fab0060718fc9d12fab00217120606e2fab;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_04 = 794164c9656465e46e4f2f2f2fab00ab008b61d22171e22fab006120737173a0;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_05 = 4f8be1e4d16e642f2f0000004fe5d1a041e428414f2f2f0000000068696e6f8d;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_06 = 8f4f282f2f0000686e6f41d1414f2f2f0000686e6f8f6441d1a04f2f2f000000;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_07 = e2e2718f61e222616372cb27d12f006e4f8bc9416e2f0000686e6f41d1412878;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_08 = 42d16ee5e42f2fabab6e6f42d1216142d36ecb60226227e3652fab008b612161;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_09 = 4e3438227805c7e000ab000007006e6f4900e5e4484900e5e448e5e442d1e5e4;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_0A = 4444444444e0000040404040404040404040400040400040404040004060644a;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_0B = 3635606044444444444444444444444444444444444444444444444444444444;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_0C = 0289752020200220208900a0200100a02001200277014301898b75200267338b;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_0D = 692053002047454100204767490020683a54002047424e0020682049ffff0020;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_0E = 654100696b425300203a4731006520464200756f2d5200724d00692032280020;
INST "MEMORY_Mram_RAM2HH_inst_ramb_0" INIT_0F = 00205200205200205200205000315300205000205300656e6b420065636b4200;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_00 = 5b5d26262626098c17f10f717375771818230110e907800b09fe800b09fd800b;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_01 = 0f1d1f21232524242424230117f10f393b3d3f4124242424230117f10f555759;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_02 = 100bf4013701040c0b00e600cdf6f6f6f62609010305070926262626098c16f1;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_03 = 30e6cdf6e320fe08101c03fa010ae6cd0b1c03fa0105e6cd0b40fe0810f3e6cd;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_04 = ff0b030f03a38301f322e6a686cd01cd0bfb1004200112e6cd0b3308fd020305;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_05 = 26f80f2006f34ce68600000b260c04030b00880b22e68600000000f6f6f6e3ed;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_06 = 012219e6860000f6f6e30b050b22e6860000f6f6e3f8800b070222e68600000b;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_07 = 0b0203fc300b00101002023911e600f626f9800bf3e60000f6f6e30b050b1b30;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_08 = 1017f33000e686cdcdf6e3100470331008f30473701339015ce6cd0bfb107033;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_09 = 583e422a2e23011f00cd0100d00bf6e30b0901000b0b0938000b300010133000;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_0A = 2424242424000b0b28081828080028082808080b08080b080008080b086c7054;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_0B = 1248434324242424242424242424242424242424242424242424242424242424;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_0C = 5c04032425245e1414030911165e0919265e165e045d015d021dff245c430c39;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_0D = 744d48004d6f7264004d6f616c0052205052004d6f524d0052205052ffff0b24;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_0E = 7364006e207265005342203a00635375520063642d7500796500745430430076;
INST "MEMORY_Mram_RAM2HL_inst_ramb_0" INIT_0F = 0020320020310020300020520035500020430020520064632072006465207200;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_00 = e0e01e2626260001c73868e0e0e0e010180001223868e20028e4ea0028e4eb00;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_01 = 68e0e0e0e0e01c2424240001e13868e0e0e0e0e01c2424240001e13868e0e0e0;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_02 = 006e6f328b61d1326e3264110000006a6c6e6fe0e0e0e0e01e2626260001c738;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_03 = 6ed301000060718fc9d1617120606e016e6f617120606e016e6f718fc9d16400;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_04 = 4964d141d141d141d16ae9e82f0000016e6f42d16ee221d1016e6f8dc9606273;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_05 = 6e6f387841e8414fd11413686e6f4100e5d18d6e6868d1130f151400006a4f78;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_06 = 6e38e168e1141300004f65e46e6868d1131300004f642400786e6864d1131368;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_07 = 6027616142d36ecb60226260e3671300006f20e4d14f141300004f65e400d191;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_08 = 8f61d2494fd92f000000008b61e2718f61e2226163727121d167006e6f42d171;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_09 = 4c32362024600525130040140068694fe5e4484900e5e4484900d8498f619249;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_0A = 30303030300000404040400040400040400040404040404040004040405e6248;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_0B = 75e1300000303030303030303030303030303030303030303030303030303030;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_0C = 30743089424230754101437589307575892230217561892073c877c873894363;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_0D = 6f6f2d006f747272006f746c6c0054747541006f744b490054747551ffff008b;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_0E = 7364007450657400455220520074656e4b006b20476e002d6d00632e3029002e;
INST "MEMORY_Mram_RAM2LH_inst_ramb_0" INIT_0F = 002020002020002020002020002928002020002020002e654365002e70416500;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_00 = 0f142226262609230216c3101112131418098c0916d31f0910011f0910011f09;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_01 = 23040506071620242424098c0f16a308090a0b1520242424098c0f16b30c0d0e;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_02 = 09f6e343fa100637f3434c5401ff0bf6f6f6e300010203172226262609230216;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_03 = f30802090b2303fa020610fe0810f302f6e310fe0810f302f6e303fa02054c00;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_04 = 11030a0b0b0b0c0b0d43071c96000000f6e31005f3cf200702f6e3f7011030fe;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_05 = f6e317010b000b220918a8f6f6e30b090d0705f38c430b403468e0090bf626fc;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_06 = f3160f431f18a8090b268301f38c4308406c090b264c480901f3534c0a406cf6;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_07 = 1c2b1033100af306730013730143c8090be30800052218a8800b26830009060c;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_08 = fb10170b2219960003090bfb100b02fc300b00101002fd500f4300f6e3100302;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_09 = 563c40282c435c09a800501809f6f62606000b0b090c000b0b09110bfb10210b;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_0A = 54545454541909001808080b08080b08080b080018081808080b0808086a6e52;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_0B = ff0b6c000b545454545454545454545454545454545454545454545454545454;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_0C = 400140f7291972fe195d0103fb72fe05f71d722dfc190e15ff020801ff020153;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_0D = 726e32006e6f3a73006e6f3a6500456f7350006e6f3a2f00456f733affff09fb;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_0E = 3f72002e6f612000544b3245003f6c632d00214c6f2d002d6f00684133200031;
INST "MEMORY_Mram_RAM2LL_inst_ramb_0" INIT_0F = 003a20003a20003a20003a20003a52003a20003a2000206c6161002074636100;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_00 = 2052002052002052002052002052002052002052002052002052002052002052;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_01 = 002d2d002e657370002872534700205600204700204d00204d00205200205200;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_02 = ffffffffffffffffffffffffffffffffffffffffffffffffffffff0072534245;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_03 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_04 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_05 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_06 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_07 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_08 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_09 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_0A = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_0B = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_0C = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_0D = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HH_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_00 = 2031002031002031002031002039002038002037002036002035002034002033;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_01 = 004f2d002e6e656c00536d2d6500204200204200204100204100203100203100;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_02 = ffffffffffffffffffffffffffffffffffffffffffffffffffffff006d2d6152;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_03 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_04 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_05 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_06 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_07 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_08 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_09 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_0A = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_0B = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_0C = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_0D = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3HL_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_00 = 2033002032002031002030002020002020002020002020002020002020002020;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_01 = 004b2d002e642065003361467400205200205200204300204300203500203400;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_02 = ffffffffffffffffffffffffffffffffffffffffffffffffffffff0061466452;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_03 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_04 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_05 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_06 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_07 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_08 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_09 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_0A = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_0B = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_0C = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_0D = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LH_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_00 = 3a20003a20003a20003a20003a20003a20003a20003a20003a20003a20003a20;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_01 = 00212d002e2e73610029746f20003a20003a20003a4c003a48003a20003a2000;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_02 = ffffffffffffffffffffffffffffffffffffffffffffffffffffff00746f203a;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_03 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_04 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_05 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_06 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_07 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_08 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_09 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_0A = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_0B = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_0C = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_0D = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM3LL_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_02 = 6b696765636100d02f2f2f2f2f2f2f4f4f4faf405f6000d00000000000000000;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_03 = 2f2f4f4f4f004f4f6e6c6a6866646260402f2f2f2f2f2f2f2f4f4f004f4f4f6d;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_04 = 0000000000000000000000000000004f4f4f6d6b696765636100d02f2f2f2f2f;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_08 = fe00001000279222d2e133d18f63d62f000000000000000000000000eed8e8d8;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_09 = 61e4d1412123d1e2212121d141d1416e4f2f2f2f2f006e6e6f2f006e6e6f2f00;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_0A = 236131e1d371d222010221233161718f216222618b618b6161718fc971612c8d;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_0B = 00d14b0089e164d84b0089e164d84b00d932624ad84bdb4de4d1884100d18be1;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_0C = d948d86ee42fd12f00000000000000000000010000000000000000af4d2323d1;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_0D = e46c2f2f2f2f0002ab0022d2a0d28d2f0000000000000068694f6464e46464e4;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_0E = e4d18f606d41016162284a64684ada7964d141603b6b4100d1408bed4164d16e;
INST "MEMORY_Mram_RAM4HH_inst_ramb_0" INIT_0F = 00d1416e4f6e6f70a06e30606e6f706e30c92f0000000000000000006a6c6e6f;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_02 = f6f6f6f6f6f609b31636567696b6d6220213f70b111209c10000000000000000;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_03 = b6d62202132b2716f6f6f6f6f6f6f6f60b0626466686a6c6e6122309170626f6;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_04 = 000000000000000000000000000009170626f6f6f6f6f6f6f609881636567696;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_08 = ce0000000b1204120800280a09620ce609000000000000000909090900050008;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_09 = c201530b22125300202020540b540bf322d6b696860bf3f6e3e60bf3f6e3e600;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_0A = 1023660f2d012f282929185046300602293010200370001c7006020f01705245;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_0B = 09060b09f85f83120b09f85f83170b092016800b1d0b1d0b042c170b092d0023;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_0C = 0d0b0ef310e6108600000000000000000000310000000000000000730b12220c;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_0D = 0e43d6b696860414cd0b1202020404e600000000000000f6f6264c103a4c103a;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_0E = 0008ceb323212923d30b0bb3070b1a01031c0bb316030b09200b02000b4c24f3;
INST "MEMORY_Mram_RAM4HL_inst_ramb_0" INIT_0F = 09060bf322f6e3d001f3164cf6e337f3160fe600000000000000000bf6f6f6e3;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_00 = 2121212121212121212121212121212121212121212121212121212140244024;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_01 = 2121212121212121212121212121212121212121212121212121212121212121;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_02 = 6c6a6866646260402f2f2f2f2f2f2f2f4f4f0000d040d1402121212121212121;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_03 = 2f2f2f4f4f004f4f4f6d6b696765636100d02f2f2f2f2f2f2f4f4f4f004f4f6e;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_04 = 000000000000000000000000000000004f4f6e6c6a6866646260402f2f2f2f2f;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_08 = d32f2f006e6f3161e12726326e3262d7002424242424202400000000af484848;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_09 = 21dc4100d1d1d323d3d1d1e2e4d500d1412f2f2fd16e6f2f006e6e6f2f006e2f;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_0A = 62718f2162226189222161618b61236131e1d371d2212731e427273060e6d7e5;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_0B = d341e4d54932624ae4d54932624ae4d54989e164dae4d5e4dd418b00d1412332;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_0C = 496464d1414f2fd52e2e262e292826262f2f2d2f2f2f2f2e2b2c28002ce4d3e2;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_0D = 404f2f2f2fd00f0f026e6fd100d16e242f2f2b2a2f2b2e00006e6f48d14948d1;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_0E = 60412d883d313141722c28656165e449694164d18de100d141e4d02de4d14164;
INST "MEMORY_Mram_RAM4LH_inst_ramb_0" INIT_0F = 9141e4d1a02f00c930e18fe12f00cba08fe1602c2b2727282a2a2b68696b6d4f;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_00 = 2e2e2e2e2e2e2e2e2e2e2e2e2e2e2e2e2e2e2e2e382e2e2e2e202e2000000000;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_01 = ecececececececececececececececececececececececececececececececec;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_02 = f6f6f6f6f6f6f60b0626466686a6c6e612230909bf2bbf0b9292929292929292;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_03 = a6c6e6122309170626f6f6f6f6f6f6f6099e1636567696b6d62202132b2716f6;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_04 = 0000000000000000000000000000002b2716f6f6f6f6f6f6f6f60b0626466686;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_08 = 000c0009f6e32c720112321cf332720c098e4084a29800ac09090909fe0b0e2e;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_09 = 18500b095454542254545400005509550be6c6a659f6e3e60bf3f6e3e60bf308;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_0A = 300603293010200319183070001c1023660f3c013e1850465f10106613094900;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_0B = 0c0b000b0b16800b00200b16800b00250bf7238329002a00290bb0092e0b5016;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_0C = 0b4c100e0b229610e8d4e8c0d444c060080c0000060405a01c74180922010c00;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_0D = 0b22e6c6a62affff00f6e3040905f34806045004051cb4090bf6e30b0b0b0b0d;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_0E = b30bd817181c2c0401801993c0930f08db0bb31d200909200b0e1fd801240bc0;
INST "MEMORY_Mram_RAM4LL_inst_ramb_0" INIT_0F = 050b040703e60b0f1cc90339e60b3001020943f050b4ea18b4047cf6f6f6f626;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_00 = 2ce160492de160496ee4e12f2fd82f00006e4f8b9141e4d1a02f000000006f30;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_01 = a0a01a16261200018978e1a0a0a0a00c0801c78d78e160492ae160492be16049;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_02 = e1a0a0a0a0a01814241001c78d78e1a0a0a0a0a01814241001c78d78e1a0a0a0;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_03 = 27008b72327289938de22f00ab68696b6d4f90a0a0a0a0a01a16261200018978;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_04 = 656465e46e4f2f2f2f0000000068696e6f8d794164c9656465e46e4f2f2f2fab;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_05 = d16e642f2f0000004fe5d1a041e428414f2f2f0000000068696e6f8d794164c9;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_06 = 2f0000686e6f41d1414f2f2f0000686e6f8f6441d1a04f2f2f0000004f8be1e4;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_07 = 61e222616372cb27d12f006e4f8bc9416e2f0000686e6f41d14128788f4f282f;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_08 = e42f2fabab6e6f42d1216142d36ecb60226227e3652fab008b612161e2e2718f;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_09 = 7805c7e000ab000007006e6f4900e5e4484900e5e448e5e442d1e5e442d16ee5;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_0A = 2061000040404040404040404040400040400040404040004060644a4e343822;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_0B = 33333333333333333333333333333333333333333333333333333333332333e2;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_0C = 3030303030303030303030303030303030303030303030303000e06031333333;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_0D = ff003f637449003f6e7449003f757449002d54006c7472490030303030303030;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM5HH_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_00 = 09fb800b09f7800bf301efd6b660860000f626f6050b040603e60000ff0be310;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_01 = 5b5d26262626098c17f10f717375771818230110e907800b09fe800b09fd800b;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_02 = 0f1d1f21232524242424230117f10f393b3d3f4124242424230117f10f555759;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_03 = 100bf4013701040c0b00e600cdf6f6f6f62609010305070926262626098c16f1;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_04 = 03a38301f322e6a68600000000f6f6f6e3edff0b030f03a38301f322e6a686cd;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_05 = 06f34ce68600000b260c04030b00880b22e68600000000f6f6f6e3edff0b030f;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_06 = 860000f6f6e30b050b22e6860000f6f6e3f8800b070222e68600000b26f80f20;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_07 = 300b00101002023911e600f626f9800bf3e60000f6f6e30b050b1b30012219e6;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_08 = 00e686cdcdf6e3100470331008f30473701339015ce6cd0bfb1070330b0203fc;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_09 = 2e23011f00cd0100d00bf6e30b0901000b0b0938000b3000101330001017f330;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_0A = 08430b0b28081828080028082808080b08080b080008080b086c7054583e422a;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_0B = 0404040404040404040404040404040404040404040404040404040404073a00;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_0C = 545454545454545454545454545454545454545454545454541900132e040404;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_0D = ff002020206e002020206e002072206e002d69006f21726e0b54545454545454;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM5HL_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_00 = 28e4ec0028e4ed0028d94f2f2f2f2f282900006f3000d1416e4f2829006e4f89;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_01 = e0e01e2626260001c73868e0e0e0e010180001223868e20028e4ea0028e4eb00;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_02 = 68e0e0e0e0e01c2424240001e13868e0e0e0e0e01c2424240001e13868e0e0e0;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_03 = 006e6f328b61d1326e3264290000006a6c6e6fe0e0e0e0e01e2626260001c738;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_04 = d141d141d16ae9e82f2b272d2c00006a4f784964d141d147d741d16ae9e82f00;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_05 = 41e8414fd12c2b686e6f4100e5d18d6e6868d12b272e2c00006a4f784964d141;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_06 = e12c2b00004f65e46e6868d12b2b00004f642400786e6864d12b2b686e6f3878;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_07 = 42d36ecb60226260e3672b00006f20e4d14f2c2b00004f65e400d1916e38e168;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_08 = 4fd92f000000008b61e2718f61e2226163727121d167006e6f42d17160276161;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_09 = 246005252b00402c0068694fe5e4484900e5e4484900d8498f6192498f61d249;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_0A = 896000404040400040400040400040404040404040004040405e62484c323620;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_0B = 4141414141414141414141414141414141414141414141414141414141413121;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_0C = 4444444444444444444444444444444444444444444444444444e00000414141;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_0D = ff00202053700020204d700020204870002d6d00632075746044444444444444;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM5LH_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_00 = 10011f0910011f09105d22e6c6a69644d4ff0be31009060bf32244d409f626f6;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_01 = 0f142226262609230216c3101112131418098c0916d31f0910011f0910011f09;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_02 = 23040506071620242424098c0f16a308090a0b1520242424098c0f16b30c0d0e;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_03 = 09f6e343fa100637f3434cd401ff0bf6f6f6e300010203172226262609230216;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_04 = 0b0b0c0b0d43071c9650b478f0090bf626fc11030a0b0b0b0c0b0d4c01049600;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_05 = 0b000b220928b8f6f6e30b090d0705f38c430b50b416f0090bf626fc11030a0b;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_06 = 1f28b8090b268301f38c4308507c090b264c480901f3534c0a507cf6f6e31701;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_07 = 100af306730013730143d8090be30800052228b8800b26830009060cf3160f43;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_08 = 2219960003090bfb100b02fc300b00101002fd500f4300f6e31003021c2b1033;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_09 = 2c435c09b800502809f6f62606000b0b090c000b0b09110bfb10210bfb10170b;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_0A = 485309001808080b08080b08080b080018081808080b0808086a6e52563c4028;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_0B = 2424242424242424242424242424242424242424242424242424242424242a27;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_0C = 2424242424242424242424242424242424242424242424242424000b0b242424;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_0D = ff00203d657500203d697500203d6f75002d65006b4370654324242424242424;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM5LL_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_00 = 713171e17164e48b21d965e4e8d141e66e4f2f2f0000000000000000eed80000;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_01 = 6e6f41d141684f2f0000006e6f8f644964642164d16e2f2f00000000000000e1;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_02 = 00000000004f8bc948d84f2f000000686e6f41d14128788fe1e84f2f00000068;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_03 = cb63c97171d2662f00ab00603261d7e2cb606672d13261e3236123e16063652f;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_04 = 49e43261d7e241e43261d3e5e46e2f2f0000abab6e6f3261d7e2c9603261d7e2;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_05 = 01898b75200267338b363560000000ab000068694fe5e4484900e5e4484900d8;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_06 = 5069653cffffff00200289752020200220208900a0200100a020012002770143;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_07 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff00;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_08 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_09 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_0A = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_0B = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_0C = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_0D = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HH_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_00 = 01270100018c1001890fe30000100b11f322a686090909000909090900050000;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_01 = f6e30b050b43228600000bf6e3fa800b4c13184c0af3e686010000000000000f;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_02 = 090909000b26fa800b052286090000f6f6e30b050b1b30010f1f2286090000f6;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_03 = 042001ff031143e609cd0b633770040002532c030a37700a00201002532343e6;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_04 = 0b00377014000b003770193000f3e6860909cdcdf6e337700400016337700a00;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_05 = 5d021dff245c430c39124843090900cd0100f6f62606000b0b090c000b0b0910;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_06 = 476e7253ffffff0b245c04032425245e1414030911165e0919265e165e045d01;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_07 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff00;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_08 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_09 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_0A = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_0B = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_0C = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_0D = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6HL_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_00 = af8f00d26861494a21e1da41d14164d1d57f2f2f0000003000000000af484030;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_01 = 004f65e468d16e2f303168694f64247800d98d6141684f2f8630313032323300;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_02 = 00000031686e6f20e4006e2f00313100004f65e400d1916e3828602f00313100;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_03 = 216221602161656e00006e6f8f7200e321c97162628b72d7e2cb6121c973d26e;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_04 = e5d98f720093e5d18f72d7e241d14f2f0000000000008b7200e321d18f7200e3;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_05 = 2073c877c87389436375e13000003100403107006e6f4900e5e4484900e5e448;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_06 = 41204875ffffff008b3074308942423075410143758930757589223021756189;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_07 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff00;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_08 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_09 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_0A = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_0B = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_0C = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_0D = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LH_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_00 = edfd090a1e830b0b180f0f0b100be31111ece6960909093009090909fe0b0008;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_01 = 0b2683018c06f3e6e010f6f6264c4801090809800b5322969fe010a040d07009;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_02 = 09090980f6f6e3080009f3e609e050800b26830009060cf3160943e609e05009;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_03 = 0020006350235cf30900f6e3fb01090a0001022013fb010d0006200b010214f3;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_04 = 300ffb0109243015fb011a000b1b229609090200090bfb01090a0008fb01090a;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_05 = 15ff020801ff020153ff0b6c090950004fe0cf0bf6e30b0901000b0b0938000b;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_06 = 3e462070ffffff09fb400140f7291972fe195d0103fb72fe05f71d722dfc190e;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_07 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff00;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_08 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_09 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_0A = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_0B = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_0C = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_0D = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM6LL_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_00 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_01 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_02 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_03 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_04 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_05 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_06 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_07 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_08 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_09 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_0A = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_0B = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_0C = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_0D = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HH_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_00 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_01 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_02 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_03 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_04 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_05 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_06 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_07 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_08 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_09 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_0A = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_0B = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_0C = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_0D = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7HL_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_00 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_01 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_02 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_03 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_04 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_05 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_06 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_07 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_08 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_09 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_0A = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_0B = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_0C = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_0D = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LH_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_00 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_01 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_02 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_03 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_04 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_05 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_06 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_07 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_08 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_09 = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_0A = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_0B = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_0C = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_0D = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_0E = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;
INST "MEMORY_Mram_RAM7LL_inst_ramb_0" INIT_0F = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.