OpenCores
URL https://opencores.org/ocsvn/ag_6502/ag_6502/trunk

Subversion Repositories ag_6502

[/] [ag_6502/] [trunk/] [fighter/] [AGATHE7.V] - Rev 3

Compare with Previous | Blame | View Log

                mem['h000] = 'h00;
                mem['h001] = 'h00;
                mem['h002] = 'h00;
                mem['h003] = 'h00;
                mem['h004] = 'h00;
                mem['h005] = 'h00;
                mem['h006] = 'h00;
                mem['h007] = 'h00;
                mem['h008] = 'h00;
                mem['h009] = 'h00;
                mem['h00A] = 'h00;
                mem['h00B] = 'h00;
                mem['h00C] = 'h00;
                mem['h00D] = 'h00;
                mem['h00E] = 'h00;
                mem['h00F] = 'h00;
                mem['h010] = 'h00;
                mem['h011] = 'h00;
                mem['h012] = 'h00;
                mem['h013] = 'h00;
                mem['h014] = 'h00;
                mem['h015] = 'h00;
                mem['h016] = 'h00;
                mem['h017] = 'h00;
                mem['h018] = 'h00;
                mem['h019] = 'h00;
                mem['h01A] = 'h00;
                mem['h01B] = 'h00;
                mem['h01C] = 'h00;
                mem['h01D] = 'h00;
                mem['h01E] = 'h00;
                mem['h01F] = 'h00;
                mem['h020] = 'h00;
                mem['h021] = 'h00;
                mem['h022] = 'h00;
                mem['h023] = 'h00;
                mem['h024] = 'h00;
                mem['h025] = 'h00;
                mem['h026] = 'h00;
                mem['h027] = 'h00;
                mem['h028] = 'h00;
                mem['h029] = 'h00;
                mem['h02A] = 'h00;
                mem['h02B] = 'h00;
                mem['h02C] = 'h00;
                mem['h02D] = 'h00;
                mem['h02E] = 'h00;
                mem['h02F] = 'h00;
                mem['h030] = 'h00;
                mem['h031] = 'h00;
                mem['h032] = 'h00;
                mem['h033] = 'h00;
                mem['h034] = 'h00;
                mem['h035] = 'h00;
                mem['h036] = 'h00;
                mem['h037] = 'h00;
                mem['h038] = 'h00;
                mem['h039] = 'h00;
                mem['h03A] = 'h00;
                mem['h03B] = 'h00;
                mem['h03C] = 'h00;
                mem['h03D] = 'h00;
                mem['h03E] = 'h00;
                mem['h03F] = 'h00;
                mem['h040] = 'h00;
                mem['h041] = 'h00;
                mem['h042] = 'h00;
                mem['h043] = 'h00;
                mem['h044] = 'h00;
                mem['h045] = 'h00;
                mem['h046] = 'h00;
                mem['h047] = 'h00;
                mem['h048] = 'h00;
                mem['h049] = 'h00;
                mem['h04A] = 'h00;
                mem['h04B] = 'h00;
                mem['h04C] = 'h00;
                mem['h04D] = 'h00;
                mem['h04E] = 'h00;
                mem['h04F] = 'h00;
                mem['h050] = 'h00;
                mem['h051] = 'h00;
                mem['h052] = 'h00;
                mem['h053] = 'h00;
                mem['h054] = 'h00;
                mem['h055] = 'h00;
                mem['h056] = 'h00;
                mem['h057] = 'h00;
                mem['h058] = 'h00;
                mem['h059] = 'h00;
                mem['h05A] = 'h00;
                mem['h05B] = 'h00;
                mem['h05C] = 'h00;
                mem['h05D] = 'h00;
                mem['h05E] = 'h00;
                mem['h05F] = 'h00;
                mem['h060] = 'h00;
                mem['h061] = 'h00;
                mem['h062] = 'h00;
                mem['h063] = 'h00;
                mem['h064] = 'h00;
                mem['h065] = 'h00;
                mem['h066] = 'h00;
                mem['h067] = 'h00;
                mem['h068] = 'h00;
                mem['h069] = 'h00;
                mem['h06A] = 'h00;
                mem['h06B] = 'h00;
                mem['h06C] = 'h00;
                mem['h06D] = 'h00;
                mem['h06E] = 'h00;
                mem['h06F] = 'h00;
                mem['h070] = 'h00;
                mem['h071] = 'h00;
                mem['h072] = 'h00;
                mem['h073] = 'h00;
                mem['h074] = 'h00;
                mem['h075] = 'h00;
                mem['h076] = 'h00;
                mem['h077] = 'h00;
                mem['h078] = 'h00;
                mem['h079] = 'h00;
                mem['h07A] = 'h00;
                mem['h07B] = 'h00;
                mem['h07C] = 'h00;
                mem['h07D] = 'h00;
                mem['h07E] = 'h00;
                mem['h07F] = 'h00;
                mem['h080] = 'h00;
                mem['h081] = 'h00;
                mem['h082] = 'h00;
                mem['h083] = 'h7C;
                mem['h084] = 'h00;
                mem['h085] = 'h00;
                mem['h086] = 'h00;
                mem['h087] = 'h00;
                mem['h088] = 'h00;
                mem['h089] = 'h00;
                mem['h08A] = 'h00;
                mem['h08B] = 'h7C;
                mem['h08C] = 'h00;
                mem['h08D] = 'h00;
                mem['h08E] = 'h00;
                mem['h08F] = 'h00;
                mem['h090] = 'h00;
                mem['h091] = 'h00;
                mem['h092] = 'h00;
                mem['h093] = 'hF0;
                mem['h094] = 'h10;
                mem['h095] = 'h10;
                mem['h096] = 'h10;
                mem['h097] = 'h10;
                mem['h098] = 'h10;
                mem['h099] = 'h10;
                mem['h09A] = 'h10;
                mem['h09B] = 'h10;
                mem['h09C] = 'h10;
                mem['h09D] = 'h10;
                mem['h09E] = 'h10;
                mem['h09F] = 'h10;
                mem['h0A0] = 'h3C;
                mem['h0A1] = 'h54;
                mem['h0A2] = 'h54;
                mem['h0A3] = 'h34;
                mem['h0A4] = 'h14;
                mem['h0A5] = 'h14;
                mem['h0A6] = 'h14;
                mem['h0A7] = 'h00;
                mem['h0A8] = 'h00;
                mem['h0A9] = 'h00;
                mem['h0AA] = 'h08;
                mem['h0AB] = 'hFC;
                mem['h0AC] = 'h08;
                mem['h0AD] = 'h00;
                mem['h0AE] = 'h00;
                mem['h0AF] = 'h00;
                mem['h0B0] = 'h00;
                mem['h0B1] = 'h00;
                mem['h0B2] = 'h00;
                mem['h0B3] = 'h00;
                mem['h0B4] = 'h7C;
                mem['h0B5] = 'h7C;
                mem['h0B6] = 'h7C;
                mem['h0B7] = 'h00;
                mem['h0B8] = 'h10;
                mem['h0B9] = 'h38;
                mem['h0BA] = 'h10;
                mem['h0BB] = 'h10;
                mem['h0BC] = 'h38;
                mem['h0BD] = 'h10;
                mem['h0BE] = 'h7C;
                mem['h0BF] = 'h00;
                mem['h0C0] = 'h00;
                mem['h0C1] = 'h10;
                mem['h0C2] = 'h38;
                mem['h0C3] = 'h10;
                mem['h0C4] = 'h10;
                mem['h0C5] = 'h10;
                mem['h0C6] = 'h10;
                mem['h0C7] = 'h10;
                mem['h0C8] = 'h10;
                mem['h0C9] = 'h10;
                mem['h0CA] = 'h10;
                mem['h0CB] = 'h10;
                mem['h0CC] = 'h10;
                mem['h0CD] = 'h38;
                mem['h0CE] = 'h10;
                mem['h0CF] = 'h00;
                mem['h0D0] = 'h00;
                mem['h0D1] = 'h00;
                mem['h0D2] = 'h08;
                mem['h0D3] = 'hFC;
                mem['h0D4] = 'h08;
                mem['h0D5] = 'h00;
                mem['h0D6] = 'h00;
                mem['h0D7] = 'h00;
                mem['h0D8] = 'h00;
                mem['h0D9] = 'h00;
                mem['h0DA] = 'h00;
                mem['h0DB] = 'h7C;
                mem['h0DC] = 'h00;
                mem['h0DD] = 'h00;
                mem['h0DE] = 'h00;
                mem['h0DF] = 'h00;
                mem['h0E0] = 'h10;
                mem['h0E1] = 'h10;
                mem['h0E2] = 'h10;
                mem['h0E3] = 'h10;
                mem['h0E4] = 'h10;
                mem['h0E5] = 'h10;
                mem['h0E6] = 'h10;
                mem['h0E7] = 'h10;
                mem['h0E8] = 'h40;
                mem['h0E9] = 'h40;
                mem['h0EA] = 'h40;
                mem['h0EB] = 'h40;
                mem['h0EC] = 'h40;
                mem['h0ED] = 'h40;
                mem['h0EE] = 'h7C;
                mem['h0EF] = 'h00;
                mem['h0F0] = 'h00;
                mem['h0F1] = 'h00;
                mem['h0F2] = 'h00;
                mem['h0F3] = 'h7C;
                mem['h0F4] = 'h00;
                mem['h0F5] = 'h00;
                mem['h0F6] = 'h00;
                mem['h0F7] = 'h00;
                mem['h0F8] = 'h00;
                mem['h0F9] = 'h00;
                mem['h0FA] = 'h00;
                mem['h0FB] = 'h7C;
                mem['h0FC] = 'h00;
                mem['h0FD] = 'h00;
                mem['h0FE] = 'h00;
                mem['h0FF] = 'h00;
                mem['h100] = 'h00;
                mem['h101] = 'h00;
                mem['h102] = 'h00;
                mem['h103] = 'h00;
                mem['h104] = 'h00;
                mem['h105] = 'h00;
                mem['h106] = 'h00;
                mem['h107] = 'h00;
                mem['h108] = 'h10;
                mem['h109] = 'h10;
                mem['h10A] = 'h10;
                mem['h10B] = 'h10;
                mem['h10C] = 'h10;
                mem['h10D] = 'h00;
                mem['h10E] = 'h10;
                mem['h10F] = 'h00;
                mem['h110] = 'h28;
                mem['h111] = 'h28;
                mem['h112] = 'h28;
                mem['h113] = 'h00;
                mem['h114] = 'h00;
                mem['h115] = 'h00;
                mem['h116] = 'h00;
                mem['h117] = 'h00;
                mem['h118] = 'h28;
                mem['h119] = 'h28;
                mem['h11A] = 'h7C;
                mem['h11B] = 'h28;
                mem['h11C] = 'h7C;
                mem['h11D] = 'h28;
                mem['h11E] = 'h28;
                mem['h11F] = 'h00;
                mem['h120] = 'h44;
                mem['h121] = 'h38;
                mem['h122] = 'h44;
                mem['h123] = 'h44;
                mem['h124] = 'h44;
                mem['h125] = 'h38;
                mem['h126] = 'h44;
                mem['h127] = 'h00;
                mem['h128] = 'h60;
                mem['h129] = 'h64;
                mem['h12A] = 'h08;
                mem['h12B] = 'h10;
                mem['h12C] = 'h20;
                mem['h12D] = 'h4C;
                mem['h12E] = 'h0C;
                mem['h12F] = 'h00;
                mem['h130] = 'h20;
                mem['h131] = 'h50;
                mem['h132] = 'h50;
                mem['h133] = 'h20;
                mem['h134] = 'h54;
                mem['h135] = 'h48;
                mem['h136] = 'h34;
                mem['h137] = 'h00;
                mem['h138] = 'h10;
                mem['h139] = 'h10;
                mem['h13A] = 'h10;
                mem['h13B] = 'h00;
                mem['h13C] = 'h00;
                mem['h13D] = 'h00;
                mem['h13E] = 'h00;
                mem['h13F] = 'h00;
                mem['h140] = 'h08;
                mem['h141] = 'h10;
                mem['h142] = 'h20;
                mem['h143] = 'h20;
                mem['h144] = 'h20;
                mem['h145] = 'h10;
                mem['h146] = 'h08;
                mem['h147] = 'h00;
                mem['h148] = 'h20;
                mem['h149] = 'h10;
                mem['h14A] = 'h08;
                mem['h14B] = 'h08;
                mem['h14C] = 'h08;
                mem['h14D] = 'h10;
                mem['h14E] = 'h20;
                mem['h14F] = 'h00;
                mem['h150] = 'h10;
                mem['h151] = 'h54;
                mem['h152] = 'h38;
                mem['h153] = 'h10;
                mem['h154] = 'h38;
                mem['h155] = 'h54;
                mem['h156] = 'h10;
                mem['h157] = 'h00;
                mem['h158] = 'h00;
                mem['h159] = 'h10;
                mem['h15A] = 'h10;
                mem['h15B] = 'h7C;
                mem['h15C] = 'h10;
                mem['h15D] = 'h10;
                mem['h15E] = 'h00;
                mem['h15F] = 'h00;
                mem['h160] = 'h00;
                mem['h161] = 'h00;
                mem['h162] = 'h00;
                mem['h163] = 'h30;
                mem['h164] = 'h30;
                mem['h165] = 'h10;
                mem['h166] = 'h20;
                mem['h167] = 'h00;
                mem['h168] = 'h00;
                mem['h169] = 'h00;
                mem['h16A] = 'h00;
                mem['h16B] = 'h7C;
                mem['h16C] = 'h00;
                mem['h16D] = 'h00;
                mem['h16E] = 'h00;
                mem['h16F] = 'h00;
                mem['h170] = 'h00;
                mem['h171] = 'h00;
                mem['h172] = 'h00;
                mem['h173] = 'h00;
                mem['h174] = 'h00;
                mem['h175] = 'h30;
                mem['h176] = 'h30;
                mem['h177] = 'h00;
                mem['h178] = 'h00;
                mem['h179] = 'h04;
                mem['h17A] = 'h08;
                mem['h17B] = 'h10;
                mem['h17C] = 'h20;
                mem['h17D] = 'h40;
                mem['h17E] = 'h00;
                mem['h17F] = 'h00;
                mem['h180] = 'h38;
                mem['h181] = 'h44;
                mem['h182] = 'h4C;
                mem['h183] = 'h54;
                mem['h184] = 'h64;
                mem['h185] = 'h44;
                mem['h186] = 'h38;
                mem['h187] = 'h00;
                mem['h188] = 'h10;
                mem['h189] = 'h30;
                mem['h18A] = 'h10;
                mem['h18B] = 'h10;
                mem['h18C] = 'h10;
                mem['h18D] = 'h10;
                mem['h18E] = 'h38;
                mem['h18F] = 'h00;
                mem['h190] = 'h38;
                mem['h191] = 'h44;
                mem['h192] = 'h04;
                mem['h193] = 'h08;
                mem['h194] = 'h10;
                mem['h195] = 'h20;
                mem['h196] = 'h7C;
                mem['h197] = 'h00;
                mem['h198] = 'h7C;
                mem['h199] = 'h04;
                mem['h19A] = 'h08;
                mem['h19B] = 'h18;
                mem['h19C] = 'h04;
                mem['h19D] = 'h44;
                mem['h19E] = 'h38;
                mem['h19F] = 'h00;
                mem['h1A0] = 'h08;
                mem['h1A1] = 'h18;
                mem['h1A2] = 'h28;
                mem['h1A3] = 'h48;
                mem['h1A4] = 'h7C;
                mem['h1A5] = 'h08;
                mem['h1A6] = 'h08;
                mem['h1A7] = 'h00;
                mem['h1A8] = 'h7C;
                mem['h1A9] = 'h40;
                mem['h1AA] = 'h78;
                mem['h1AB] = 'h04;
                mem['h1AC] = 'h04;
                mem['h1AD] = 'h44;
                mem['h1AE] = 'h38;
                mem['h1AF] = 'h00;
                mem['h1B0] = 'h1C;
                mem['h1B1] = 'h20;
                mem['h1B2] = 'h40;
                mem['h1B3] = 'h78;
                mem['h1B4] = 'h44;
                mem['h1B5] = 'h44;
                mem['h1B6] = 'h38;
                mem['h1B7] = 'h00;
                mem['h1B8] = 'h7C;
                mem['h1B9] = 'h04;
                mem['h1BA] = 'h08;
                mem['h1BB] = 'h10;
                mem['h1BC] = 'h20;
                mem['h1BD] = 'h20;
                mem['h1BE] = 'h20;
                mem['h1BF] = 'h00;
                mem['h1C0] = 'h38;
                mem['h1C1] = 'h44;
                mem['h1C2] = 'h44;
                mem['h1C3] = 'h38;
                mem['h1C4] = 'h44;
                mem['h1C5] = 'h44;
                mem['h1C6] = 'h38;
                mem['h1C7] = 'h00;
                mem['h1C8] = 'h38;
                mem['h1C9] = 'h44;
                mem['h1CA] = 'h44;
                mem['h1CB] = 'h3C;
                mem['h1CC] = 'h04;
                mem['h1CD] = 'h08;
                mem['h1CE] = 'h70;
                mem['h1CF] = 'h00;
                mem['h1D0] = 'h00;
                mem['h1D1] = 'h00;
                mem['h1D2] = 'h18;
                mem['h1D3] = 'h18;
                mem['h1D4] = 'h00;
                mem['h1D5] = 'h18;
                mem['h1D6] = 'h18;
                mem['h1D7] = 'h00;
                mem['h1D8] = 'h18;
                mem['h1D9] = 'h18;
                mem['h1DA] = 'h00;
                mem['h1DB] = 'h18;
                mem['h1DC] = 'h18;
                mem['h1DD] = 'h08;
                mem['h1DE] = 'h10;
                mem['h1DF] = 'h00;
                mem['h1E0] = 'h04;
                mem['h1E1] = 'h08;
                mem['h1E2] = 'h10;
                mem['h1E3] = 'h20;
                mem['h1E4] = 'h10;
                mem['h1E5] = 'h08;
                mem['h1E6] = 'h04;
                mem['h1E7] = 'h00;
                mem['h1E8] = 'h00;
                mem['h1E9] = 'h00;
                mem['h1EA] = 'h7C;
                mem['h1EB] = 'h00;
                mem['h1EC] = 'h7C;
                mem['h1ED] = 'h00;
                mem['h1EE] = 'h00;
                mem['h1EF] = 'h00;
                mem['h1F0] = 'h20;
                mem['h1F1] = 'h10;
                mem['h1F2] = 'h08;
                mem['h1F3] = 'h04;
                mem['h1F4] = 'h08;
                mem['h1F5] = 'h10;
                mem['h1F6] = 'h20;
                mem['h1F7] = 'h00;
                mem['h1F8] = 'h38;
                mem['h1F9] = 'h44;
                mem['h1FA] = 'h08;
                mem['h1FB] = 'h10;
                mem['h1FC] = 'h10;
                mem['h1FD] = 'h00;
                mem['h1FE] = 'h10;
                mem['h1FF] = 'h00;
                mem['h200] = 'h38;
                mem['h201] = 'h44;
                mem['h202] = 'h5C;
                mem['h203] = 'h54;
                mem['h204] = 'h5C;
                mem['h205] = 'h40;
                mem['h206] = 'h3C;
                mem['h207] = 'h00;
                mem['h208] = 'h10;
                mem['h209] = 'h28;
                mem['h20A] = 'h44;
                mem['h20B] = 'h44;
                mem['h20C] = 'h7C;
                mem['h20D] = 'h44;
                mem['h20E] = 'h44;
                mem['h20F] = 'h00;
                mem['h210] = 'h78;
                mem['h211] = 'h44;
                mem['h212] = 'h44;
                mem['h213] = 'h78;
                mem['h214] = 'h44;
                mem['h215] = 'h44;
                mem['h216] = 'h78;
                mem['h217] = 'h00;
                mem['h218] = 'h38;
                mem['h219] = 'h44;
                mem['h21A] = 'h40;
                mem['h21B] = 'h40;
                mem['h21C] = 'h40;
                mem['h21D] = 'h44;
                mem['h21E] = 'h38;
                mem['h21F] = 'h00;
                mem['h220] = 'h78;
                mem['h221] = 'h44;
                mem['h222] = 'h44;
                mem['h223] = 'h44;
                mem['h224] = 'h44;
                mem['h225] = 'h44;
                mem['h226] = 'h78;
                mem['h227] = 'h00;
                mem['h228] = 'h7C;
                mem['h229] = 'h40;
                mem['h22A] = 'h40;
                mem['h22B] = 'h78;
                mem['h22C] = 'h40;
                mem['h22D] = 'h40;
                mem['h22E] = 'h7C;
                mem['h22F] = 'h00;
                mem['h230] = 'h7C;
                mem['h231] = 'h40;
                mem['h232] = 'h40;
                mem['h233] = 'h78;
                mem['h234] = 'h40;
                mem['h235] = 'h40;
                mem['h236] = 'h40;
                mem['h237] = 'h00;
                mem['h238] = 'h3C;
                mem['h239] = 'h40;
                mem['h23A] = 'h40;
                mem['h23B] = 'h40;
                mem['h23C] = 'h4C;
                mem['h23D] = 'h44;
                mem['h23E] = 'h3C;
                mem['h23F] = 'h00;
                mem['h240] = 'h44;
                mem['h241] = 'h44;
                mem['h242] = 'h44;
                mem['h243] = 'h7C;
                mem['h244] = 'h44;
                mem['h245] = 'h44;
                mem['h246] = 'h44;
                mem['h247] = 'h00;
                mem['h248] = 'h38;
                mem['h249] = 'h10;
                mem['h24A] = 'h10;
                mem['h24B] = 'h10;
                mem['h24C] = 'h10;
                mem['h24D] = 'h10;
                mem['h24E] = 'h38;
                mem['h24F] = 'h00;
                mem['h250] = 'h04;
                mem['h251] = 'h04;
                mem['h252] = 'h04;
                mem['h253] = 'h04;
                mem['h254] = 'h04;
                mem['h255] = 'h44;
                mem['h256] = 'h38;
                mem['h257] = 'h00;
                mem['h258] = 'h44;
                mem['h259] = 'h48;
                mem['h25A] = 'h50;
                mem['h25B] = 'h60;
                mem['h25C] = 'h50;
                mem['h25D] = 'h48;
                mem['h25E] = 'h44;
                mem['h25F] = 'h00;
                mem['h260] = 'h40;
                mem['h261] = 'h40;
                mem['h262] = 'h40;
                mem['h263] = 'h40;
                mem['h264] = 'h40;
                mem['h265] = 'h40;
                mem['h266] = 'h7C;
                mem['h267] = 'h00;
                mem['h268] = 'h44;
                mem['h269] = 'h6C;
                mem['h26A] = 'h54;
                mem['h26B] = 'h54;
                mem['h26C] = 'h44;
                mem['h26D] = 'h44;
                mem['h26E] = 'h44;
                mem['h26F] = 'h00;
                mem['h270] = 'h44;
                mem['h271] = 'h44;
                mem['h272] = 'h64;
                mem['h273] = 'h54;
                mem['h274] = 'h4C;
                mem['h275] = 'h44;
                mem['h276] = 'h44;
                mem['h277] = 'h00;
                mem['h278] = 'h38;
                mem['h279] = 'h44;
                mem['h27A] = 'h44;
                mem['h27B] = 'h44;
                mem['h27C] = 'h44;
                mem['h27D] = 'h44;
                mem['h27E] = 'h38;
                mem['h27F] = 'h00;
                mem['h280] = 'h78;
                mem['h281] = 'h44;
                mem['h282] = 'h44;
                mem['h283] = 'h78;
                mem['h284] = 'h40;
                mem['h285] = 'h40;
                mem['h286] = 'h40;
                mem['h287] = 'h00;
                mem['h288] = 'h38;
                mem['h289] = 'h44;
                mem['h28A] = 'h44;
                mem['h28B] = 'h44;
                mem['h28C] = 'h54;
                mem['h28D] = 'h48;
                mem['h28E] = 'h34;
                mem['h28F] = 'h00;
                mem['h290] = 'h78;
                mem['h291] = 'h44;
                mem['h292] = 'h44;
                mem['h293] = 'h78;
                mem['h294] = 'h50;
                mem['h295] = 'h48;
                mem['h296] = 'h44;
                mem['h297] = 'h00;
                mem['h298] = 'h38;
                mem['h299] = 'h44;
                mem['h29A] = 'h40;
                mem['h29B] = 'h38;
                mem['h29C] = 'h04;
                mem['h29D] = 'h44;
                mem['h29E] = 'h38;
                mem['h29F] = 'h00;
                mem['h2A0] = 'h7C;
                mem['h2A1] = 'h10;
                mem['h2A2] = 'h10;
                mem['h2A3] = 'h10;
                mem['h2A4] = 'h10;
                mem['h2A5] = 'h10;
                mem['h2A6] = 'h10;
                mem['h2A7] = 'h00;
                mem['h2A8] = 'h44;
                mem['h2A9] = 'h44;
                mem['h2AA] = 'h44;
                mem['h2AB] = 'h44;
                mem['h2AC] = 'h44;
                mem['h2AD] = 'h44;
                mem['h2AE] = 'h38;
                mem['h2AF] = 'h00;
                mem['h2B0] = 'h44;
                mem['h2B1] = 'h44;
                mem['h2B2] = 'h44;
                mem['h2B3] = 'h44;
                mem['h2B4] = 'h44;
                mem['h2B5] = 'h28;
                mem['h2B6] = 'h10;
                mem['h2B7] = 'h00;
                mem['h2B8] = 'h44;
                mem['h2B9] = 'h44;
                mem['h2BA] = 'h44;
                mem['h2BB] = 'h54;
                mem['h2BC] = 'h54;
                mem['h2BD] = 'h6C;
                mem['h2BE] = 'h44;
                mem['h2BF] = 'h00;
                mem['h2C0] = 'h44;
                mem['h2C1] = 'h44;
                mem['h2C2] = 'h28;
                mem['h2C3] = 'h10;
                mem['h2C4] = 'h28;
                mem['h2C5] = 'h44;
                mem['h2C6] = 'h44;
                mem['h2C7] = 'h00;
                mem['h2C8] = 'h44;
                mem['h2C9] = 'h44;
                mem['h2CA] = 'h28;
                mem['h2CB] = 'h10;
                mem['h2CC] = 'h10;
                mem['h2CD] = 'h10;
                mem['h2CE] = 'h10;
                mem['h2CF] = 'h00;
                mem['h2D0] = 'h7C;
                mem['h2D1] = 'h04;
                mem['h2D2] = 'h08;
                mem['h2D3] = 'h10;
                mem['h2D4] = 'h20;
                mem['h2D5] = 'h40;
                mem['h2D6] = 'h7C;
                mem['h2D7] = 'h00;
                mem['h2D8] = 'h7C;
                mem['h2D9] = 'h60;
                mem['h2DA] = 'h60;
                mem['h2DB] = 'h60;
                mem['h2DC] = 'h60;
                mem['h2DD] = 'h60;
                mem['h2DE] = 'h7C;
                mem['h2DF] = 'h00;
                mem['h2E0] = 'h00;
                mem['h2E1] = 'h40;
                mem['h2E2] = 'h20;
                mem['h2E3] = 'h10;
                mem['h2E4] = 'h08;
                mem['h2E5] = 'h04;
                mem['h2E6] = 'h00;
                mem['h2E7] = 'h00;
                mem['h2E8] = 'h7C;
                mem['h2E9] = 'h0C;
                mem['h2EA] = 'h0C;
                mem['h2EB] = 'h0C;
                mem['h2EC] = 'h0C;
                mem['h2ED] = 'h0C;
                mem['h2EE] = 'h7C;
                mem['h2EF] = 'h00;
                mem['h2F0] = 'h00;
                mem['h2F1] = 'h10;
                mem['h2F2] = 'h28;
                mem['h2F3] = 'h44;
                mem['h2F4] = 'h00;
                mem['h2F5] = 'h00;
                mem['h2F6] = 'h00;
                mem['h2F7] = 'h00;
                mem['h2F8] = 'h00;
                mem['h2F9] = 'h00;
                mem['h2FA] = 'h00;
                mem['h2FB] = 'h00;
                mem['h2FC] = 'h00;
                mem['h2FD] = 'h00;
                mem['h2FE] = 'h00;
                mem['h2FF] = 'hFF;
                mem['h300] = 'h5C;
                mem['h301] = 'h54;
                mem['h302] = 'h54;
                mem['h303] = 'h74;
                mem['h304] = 'h54;
                mem['h305] = 'h54;
                mem['h306] = 'h5C;
                mem['h307] = 'h00;
                mem['h308] = 'h38;
                mem['h309] = 'h44;
                mem['h30A] = 'h44;
                mem['h30B] = 'h44;
                mem['h30C] = 'h7C;
                mem['h30D] = 'h44;
                mem['h30E] = 'h44;
                mem['h30F] = 'h00;
                mem['h310] = 'h7C;
                mem['h311] = 'h40;
                mem['h312] = 'h40;
                mem['h313] = 'h78;
                mem['h314] = 'h44;
                mem['h315] = 'h44;
                mem['h316] = 'h78;
                mem['h317] = 'h00;
                mem['h318] = 'h48;
                mem['h319] = 'h48;
                mem['h31A] = 'h48;
                mem['h31B] = 'h48;
                mem['h31C] = 'h48;
                mem['h31D] = 'h48;
                mem['h31E] = 'h7C;
                mem['h31F] = 'h04;
                mem['h320] = 'h1C;
                mem['h321] = 'h24;
                mem['h322] = 'h24;
                mem['h323] = 'h24;
                mem['h324] = 'h24;
                mem['h325] = 'h24;
                mem['h326] = 'h7E;
                mem['h327] = 'h42;
                mem['h328] = 'h7C;
                mem['h329] = 'h40;
                mem['h32A] = 'h40;
                mem['h32B] = 'h78;
                mem['h32C] = 'h40;
                mem['h32D] = 'h40;
                mem['h32E] = 'h7C;
                mem['h32F] = 'h00;
                mem['h330] = 'h38;
                mem['h331] = 'h54;
                mem['h332] = 'h54;
                mem['h333] = 'h54;
                mem['h334] = 'h38;
                mem['h335] = 'h10;
                mem['h336] = 'h10;
                mem['h337] = 'h00;
                mem['h338] = 'h7C;
                mem['h339] = 'h40;
                mem['h33A] = 'h40;
                mem['h33B] = 'h40;
                mem['h33C] = 'h40;
                mem['h33D] = 'h40;
                mem['h33E] = 'h40;
                mem['h33F] = 'h00;
                mem['h340] = 'h44;
                mem['h341] = 'h44;
                mem['h342] = 'h28;
                mem['h343] = 'h10;
                mem['h344] = 'h28;
                mem['h345] = 'h44;
                mem['h346] = 'h44;
                mem['h347] = 'h00;
                mem['h348] = 'h44;
                mem['h349] = 'h44;
                mem['h34A] = 'h44;
                mem['h34B] = 'h4C;
                mem['h34C] = 'h54;
                mem['h34D] = 'h64;
                mem['h34E] = 'h44;
                mem['h34F] = 'h00;
                mem['h350] = 'h54;
                mem['h351] = 'h54;
                mem['h352] = 'h44;
                mem['h353] = 'h4C;
                mem['h354] = 'h54;
                mem['h355] = 'h64;
                mem['h356] = 'h44;
                mem['h357] = 'h00;
                mem['h358] = 'h44;
                mem['h359] = 'h48;
                mem['h35A] = 'h50;
                mem['h35B] = 'h60;
                mem['h35C] = 'h50;
                mem['h35D] = 'h48;
                mem['h35E] = 'h44;
                mem['h35F] = 'h00;
                mem['h360] = 'h0C;
                mem['h361] = 'h14;
                mem['h362] = 'h24;
                mem['h363] = 'h24;
                mem['h364] = 'h24;
                mem['h365] = 'h24;
                mem['h366] = 'h44;
                mem['h367] = 'h00;
                mem['h368] = 'h44;
                mem['h369] = 'h6C;
                mem['h36A] = 'h54;
                mem['h36B] = 'h54;
                mem['h36C] = 'h44;
                mem['h36D] = 'h44;
                mem['h36E] = 'h44;
                mem['h36F] = 'h00;
                mem['h370] = 'h44;
                mem['h371] = 'h44;
                mem['h372] = 'h44;
                mem['h373] = 'h7C;
                mem['h374] = 'h44;
                mem['h375] = 'h44;
                mem['h376] = 'h44;
                mem['h377] = 'h00;
                mem['h378] = 'h38;
                mem['h379] = 'h44;
                mem['h37A] = 'h44;
                mem['h37B] = 'h44;
                mem['h37C] = 'h44;
                mem['h37D] = 'h44;
                mem['h37E] = 'h38;
                mem['h37F] = 'h00;
                mem['h380] = 'h7C;
                mem['h381] = 'h44;
                mem['h382] = 'h44;
                mem['h383] = 'h44;
                mem['h384] = 'h44;
                mem['h385] = 'h44;
                mem['h386] = 'h44;
                mem['h387] = 'h00;
                mem['h388] = 'h3C;
                mem['h389] = 'h44;
                mem['h38A] = 'h44;
                mem['h38B] = 'h3C;
                mem['h38C] = 'h14;
                mem['h38D] = 'h24;
                mem['h38E] = 'h44;
                mem['h38F] = 'h00;
                mem['h390] = 'h78;
                mem['h391] = 'h44;
                mem['h392] = 'h44;
                mem['h393] = 'h78;
                mem['h394] = 'h40;
                mem['h395] = 'h40;
                mem['h396] = 'h40;
                mem['h397] = 'h00;
                mem['h398] = 'h38;
                mem['h399] = 'h44;
                mem['h39A] = 'h40;
                mem['h39B] = 'h40;
                mem['h39C] = 'h40;
                mem['h39D] = 'h44;
                mem['h39E] = 'h38;
                mem['h39F] = 'h00;
                mem['h3A0] = 'h7C;
                mem['h3A1] = 'h10;
                mem['h3A2] = 'h10;
                mem['h3A3] = 'h10;
                mem['h3A4] = 'h10;
                mem['h3A5] = 'h10;
                mem['h3A6] = 'h10;
                mem['h3A7] = 'h00;
                mem['h3A8] = 'h44;
                mem['h3A9] = 'h44;
                mem['h3AA] = 'h44;
                mem['h3AB] = 'h3C;
                mem['h3AC] = 'h04;
                mem['h3AD] = 'h44;
                mem['h3AE] = 'h38;
                mem['h3AF] = 'h00;
                mem['h3B0] = 'h54;
                mem['h3B1] = 'h54;
                mem['h3B2] = 'h54;
                mem['h3B3] = 'h38;
                mem['h3B4] = 'h54;
                mem['h3B5] = 'h54;
                mem['h3B6] = 'h54;
                mem['h3B7] = 'h00;
                mem['h3B8] = 'h78;
                mem['h3B9] = 'h44;
                mem['h3BA] = 'h44;
                mem['h3BB] = 'h78;
                mem['h3BC] = 'h44;
                mem['h3BD] = 'h44;
                mem['h3BE] = 'h78;
                mem['h3BF] = 'h00;
                mem['h3C0] = 'h40;
                mem['h3C1] = 'h40;
                mem['h3C2] = 'h40;
                mem['h3C3] = 'h78;
                mem['h3C4] = 'h44;
                mem['h3C5] = 'h44;
                mem['h3C6] = 'h78;
                mem['h3C7] = 'h00;
                mem['h3C8] = 'h44;
                mem['h3C9] = 'h44;
                mem['h3CA] = 'h44;
                mem['h3CB] = 'h74;
                mem['h3CC] = 'h54;
                mem['h3CD] = 'h54;
                mem['h3CE] = 'h74;
                mem['h3CF] = 'h00;
                mem['h3D0] = 'h38;
                mem['h3D1] = 'h44;
                mem['h3D2] = 'h04;
                mem['h3D3] = 'h18;
                mem['h3D4] = 'h04;
                mem['h3D5] = 'h44;
                mem['h3D6] = 'h38;
                mem['h3D7] = 'h00;
                mem['h3D8] = 'h54;
                mem['h3D9] = 'h54;
                mem['h3DA] = 'h54;
                mem['h3DB] = 'h54;
                mem['h3DC] = 'h54;
                mem['h3DD] = 'h54;
                mem['h3DE] = 'h7C;
                mem['h3DF] = 'h00;
                mem['h3E0] = 'h78;
                mem['h3E1] = 'h04;
                mem['h3E2] = 'h04;
                mem['h3E3] = 'h3C;
                mem['h3E4] = 'h04;
                mem['h3E5] = 'h04;
                mem['h3E6] = 'h78;
                mem['h3E7] = 'h00;
                mem['h3E8] = 'h54;
                mem['h3E9] = 'h54;
                mem['h3EA] = 'h54;
                mem['h3EB] = 'h54;
                mem['h3EC] = 'h54;
                mem['h3ED] = 'h54;
                mem['h3EE] = 'h7C;
                mem['h3EF] = 'h04;
                mem['h3F0] = 'h44;
                mem['h3F1] = 'h44;
                mem['h3F2] = 'h44;
                mem['h3F3] = 'h3C;
                mem['h3F4] = 'h04;
                mem['h3F5] = 'h04;
                mem['h3F6] = 'h04;
                mem['h3F7] = 'h00;
                mem['h3F8] = 'h60;
                mem['h3F9] = 'h20;
                mem['h3FA] = 'h20;
                mem['h3FB] = 'h38;
                mem['h3FC] = 'h24;
                mem['h3FD] = 'h24;
                mem['h3FE] = 'h38;
                mem['h3FF] = 'h00;
                mem['h400] = 'h00;
                mem['h401] = 'h00;
                mem['h402] = 'h00;
                mem['h403] = 'h00;
                mem['h404] = 'h00;
                mem['h405] = 'h00;
                mem['h406] = 'h00;
                mem['h407] = 'h00;
                mem['h408] = 'h00;
                mem['h409] = 'h00;
                mem['h40A] = 'h00;
                mem['h40B] = 'h00;
                mem['h40C] = 'h00;
                mem['h40D] = 'h00;
                mem['h40E] = 'h00;
                mem['h40F] = 'h00;
                mem['h410] = 'h00;
                mem['h411] = 'h00;
                mem['h412] = 'h00;
                mem['h413] = 'h00;
                mem['h414] = 'h00;
                mem['h415] = 'h00;
                mem['h416] = 'h00;
                mem['h417] = 'h00;
                mem['h418] = 'h00;
                mem['h419] = 'h00;
                mem['h41A] = 'h00;
                mem['h41B] = 'h00;
                mem['h41C] = 'h00;
                mem['h41D] = 'h00;
                mem['h41E] = 'h00;
                mem['h41F] = 'h00;
                mem['h420] = 'h00;
                mem['h421] = 'h00;
                mem['h422] = 'h00;
                mem['h423] = 'h00;
                mem['h424] = 'h00;
                mem['h425] = 'h00;
                mem['h426] = 'h00;
                mem['h427] = 'h00;
                mem['h428] = 'h00;
                mem['h429] = 'h00;
                mem['h42A] = 'h00;
                mem['h42B] = 'h00;
                mem['h42C] = 'h00;
                mem['h42D] = 'h00;
                mem['h42E] = 'h00;
                mem['h42F] = 'h00;
                mem['h430] = 'h00;
                mem['h431] = 'h00;
                mem['h432] = 'h00;
                mem['h433] = 'h00;
                mem['h434] = 'h00;
                mem['h435] = 'h00;
                mem['h436] = 'h00;
                mem['h437] = 'h00;
                mem['h438] = 'h00;
                mem['h439] = 'h00;
                mem['h43A] = 'h00;
                mem['h43B] = 'h00;
                mem['h43C] = 'h00;
                mem['h43D] = 'h00;
                mem['h43E] = 'h00;
                mem['h43F] = 'h00;
                mem['h440] = 'h00;
                mem['h441] = 'h00;
                mem['h442] = 'h00;
                mem['h443] = 'h00;
                mem['h444] = 'h00;
                mem['h445] = 'h00;
                mem['h446] = 'h00;
                mem['h447] = 'h00;
                mem['h448] = 'h00;
                mem['h449] = 'h00;
                mem['h44A] = 'h00;
                mem['h44B] = 'h00;
                mem['h44C] = 'h00;
                mem['h44D] = 'h00;
                mem['h44E] = 'h00;
                mem['h44F] = 'h00;
                mem['h450] = 'h00;
                mem['h451] = 'h00;
                mem['h452] = 'h00;
                mem['h453] = 'h00;
                mem['h454] = 'h00;
                mem['h455] = 'h00;
                mem['h456] = 'h00;
                mem['h457] = 'h00;
                mem['h458] = 'h00;
                mem['h459] = 'h00;
                mem['h45A] = 'h00;
                mem['h45B] = 'h00;
                mem['h45C] = 'h00;
                mem['h45D] = 'h00;
                mem['h45E] = 'h00;
                mem['h45F] = 'h00;
                mem['h460] = 'h00;
                mem['h461] = 'h00;
                mem['h462] = 'h00;
                mem['h463] = 'h00;
                mem['h464] = 'h00;
                mem['h465] = 'h00;
                mem['h466] = 'h00;
                mem['h467] = 'h00;
                mem['h468] = 'h00;
                mem['h469] = 'h00;
                mem['h46A] = 'h00;
                mem['h46B] = 'h00;
                mem['h46C] = 'h00;
                mem['h46D] = 'h00;
                mem['h46E] = 'h00;
                mem['h46F] = 'h00;
                mem['h470] = 'h00;
                mem['h471] = 'h00;
                mem['h472] = 'h00;
                mem['h473] = 'h00;
                mem['h474] = 'h00;
                mem['h475] = 'h00;
                mem['h476] = 'h00;
                mem['h477] = 'h00;
                mem['h478] = 'h00;
                mem['h479] = 'h00;
                mem['h47A] = 'h00;
                mem['h47B] = 'h00;
                mem['h47C] = 'h00;
                mem['h47D] = 'h00;
                mem['h47E] = 'h00;
                mem['h47F] = 'h00;
                mem['h480] = 'h00;
                mem['h481] = 'h00;
                mem['h482] = 'h00;
                mem['h483] = 'h7C;
                mem['h484] = 'h00;
                mem['h485] = 'h00;
                mem['h486] = 'h00;
                mem['h487] = 'h00;
                mem['h488] = 'h00;
                mem['h489] = 'h00;
                mem['h48A] = 'h00;
                mem['h48B] = 'h7C;
                mem['h48C] = 'h00;
                mem['h48D] = 'h00;
                mem['h48E] = 'h00;
                mem['h48F] = 'h00;
                mem['h490] = 'h00;
                mem['h491] = 'h00;
                mem['h492] = 'h00;
                mem['h493] = 'hF0;
                mem['h494] = 'h10;
                mem['h495] = 'h10;
                mem['h496] = 'h10;
                mem['h497] = 'h10;
                mem['h498] = 'h10;
                mem['h499] = 'h10;
                mem['h49A] = 'h10;
                mem['h49B] = 'h10;
                mem['h49C] = 'h10;
                mem['h49D] = 'h10;
                mem['h49E] = 'h10;
                mem['h49F] = 'h10;
                mem['h4A0] = 'h3C;
                mem['h4A1] = 'h54;
                mem['h4A2] = 'h54;
                mem['h4A3] = 'h34;
                mem['h4A4] = 'h14;
                mem['h4A5] = 'h14;
                mem['h4A6] = 'h14;
                mem['h4A7] = 'h00;
                mem['h4A8] = 'h00;
                mem['h4A9] = 'h00;
                mem['h4AA] = 'h08;
                mem['h4AB] = 'hFC;
                mem['h4AC] = 'h08;
                mem['h4AD] = 'h00;
                mem['h4AE] = 'h00;
                mem['h4AF] = 'h00;
                mem['h4B0] = 'h00;
                mem['h4B1] = 'h00;
                mem['h4B2] = 'h00;
                mem['h4B3] = 'h00;
                mem['h4B4] = 'h7C;
                mem['h4B5] = 'h7C;
                mem['h4B6] = 'h7C;
                mem['h4B7] = 'h00;
                mem['h4B8] = 'h10;
                mem['h4B9] = 'h38;
                mem['h4BA] = 'h10;
                mem['h4BB] = 'h10;
                mem['h4BC] = 'h38;
                mem['h4BD] = 'h10;
                mem['h4BE] = 'h7C;
                mem['h4BF] = 'h00;
                mem['h4C0] = 'h00;
                mem['h4C1] = 'h10;
                mem['h4C2] = 'h38;
                mem['h4C3] = 'h10;
                mem['h4C4] = 'h10;
                mem['h4C5] = 'h10;
                mem['h4C6] = 'h10;
                mem['h4C7] = 'h10;
                mem['h4C8] = 'h10;
                mem['h4C9] = 'h10;
                mem['h4CA] = 'h10;
                mem['h4CB] = 'h10;
                mem['h4CC] = 'h10;
                mem['h4CD] = 'h38;
                mem['h4CE] = 'h10;
                mem['h4CF] = 'h00;
                mem['h4D0] = 'h00;
                mem['h4D1] = 'h00;
                mem['h4D2] = 'h08;
                mem['h4D3] = 'hFC;
                mem['h4D4] = 'h08;
                mem['h4D5] = 'h00;
                mem['h4D6] = 'h00;
                mem['h4D7] = 'h00;
                mem['h4D8] = 'h00;
                mem['h4D9] = 'h00;
                mem['h4DA] = 'h00;
                mem['h4DB] = 'h7C;
                mem['h4DC] = 'h00;
                mem['h4DD] = 'h00;
                mem['h4DE] = 'h00;
                mem['h4DF] = 'h00;
                mem['h4E0] = 'h10;
                mem['h4E1] = 'h10;
                mem['h4E2] = 'h10;
                mem['h4E3] = 'h10;
                mem['h4E4] = 'h10;
                mem['h4E5] = 'h10;
                mem['h4E6] = 'h10;
                mem['h4E7] = 'h10;
                mem['h4E8] = 'h40;
                mem['h4E9] = 'h40;
                mem['h4EA] = 'h40;
                mem['h4EB] = 'h40;
                mem['h4EC] = 'h40;
                mem['h4ED] = 'h40;
                mem['h4EE] = 'h7C;
                mem['h4EF] = 'h00;
                mem['h4F0] = 'h00;
                mem['h4F1] = 'h00;
                mem['h4F2] = 'h00;
                mem['h4F3] = 'h7C;
                mem['h4F4] = 'h00;
                mem['h4F5] = 'h00;
                mem['h4F6] = 'h00;
                mem['h4F7] = 'h00;
                mem['h4F8] = 'h00;
                mem['h4F9] = 'h00;
                mem['h4FA] = 'h00;
                mem['h4FB] = 'h7C;
                mem['h4FC] = 'h00;
                mem['h4FD] = 'h00;
                mem['h4FE] = 'h00;
                mem['h4FF] = 'h00;
                mem['h500] = 'h00;
                mem['h501] = 'h00;
                mem['h502] = 'h00;
                mem['h503] = 'h00;
                mem['h504] = 'h00;
                mem['h505] = 'h00;
                mem['h506] = 'h00;
                mem['h507] = 'h00;
                mem['h508] = 'h10;
                mem['h509] = 'h10;
                mem['h50A] = 'h10;
                mem['h50B] = 'h10;
                mem['h50C] = 'h10;
                mem['h50D] = 'h00;
                mem['h50E] = 'h10;
                mem['h50F] = 'h00;
                mem['h510] = 'h28;
                mem['h511] = 'h28;
                mem['h512] = 'h28;
                mem['h513] = 'h00;
                mem['h514] = 'h00;
                mem['h515] = 'h00;
                mem['h516] = 'h00;
                mem['h517] = 'h00;
                mem['h518] = 'h28;
                mem['h519] = 'h28;
                mem['h51A] = 'h7C;
                mem['h51B] = 'h28;
                mem['h51C] = 'h7C;
                mem['h51D] = 'h28;
                mem['h51E] = 'h28;
                mem['h51F] = 'h00;
                mem['h520] = 'h44;
                mem['h521] = 'h38;
                mem['h522] = 'h44;
                mem['h523] = 'h44;
                mem['h524] = 'h44;
                mem['h525] = 'h38;
                mem['h526] = 'h44;
                mem['h527] = 'h00;
                mem['h528] = 'h60;
                mem['h529] = 'h64;
                mem['h52A] = 'h08;
                mem['h52B] = 'h10;
                mem['h52C] = 'h20;
                mem['h52D] = 'h4C;
                mem['h52E] = 'h0C;
                mem['h52F] = 'h00;
                mem['h530] = 'h20;
                mem['h531] = 'h50;
                mem['h532] = 'h50;
                mem['h533] = 'h20;
                mem['h534] = 'h54;
                mem['h535] = 'h48;
                mem['h536] = 'h34;
                mem['h537] = 'h00;
                mem['h538] = 'h10;
                mem['h539] = 'h10;
                mem['h53A] = 'h10;
                mem['h53B] = 'h00;
                mem['h53C] = 'h00;
                mem['h53D] = 'h00;
                mem['h53E] = 'h00;
                mem['h53F] = 'h00;
                mem['h540] = 'h08;
                mem['h541] = 'h10;
                mem['h542] = 'h20;
                mem['h543] = 'h20;
                mem['h544] = 'h20;
                mem['h545] = 'h10;
                mem['h546] = 'h08;
                mem['h547] = 'h00;
                mem['h548] = 'h20;
                mem['h549] = 'h10;
                mem['h54A] = 'h08;
                mem['h54B] = 'h08;
                mem['h54C] = 'h08;
                mem['h54D] = 'h10;
                mem['h54E] = 'h20;
                mem['h54F] = 'h00;
                mem['h550] = 'h10;
                mem['h551] = 'h54;
                mem['h552] = 'h38;
                mem['h553] = 'h10;
                mem['h554] = 'h38;
                mem['h555] = 'h54;
                mem['h556] = 'h10;
                mem['h557] = 'h00;
                mem['h558] = 'h00;
                mem['h559] = 'h10;
                mem['h55A] = 'h10;
                mem['h55B] = 'h7C;
                mem['h55C] = 'h10;
                mem['h55D] = 'h10;
                mem['h55E] = 'h00;
                mem['h55F] = 'h00;
                mem['h560] = 'h00;
                mem['h561] = 'h00;
                mem['h562] = 'h00;
                mem['h563] = 'h30;
                mem['h564] = 'h30;
                mem['h565] = 'h10;
                mem['h566] = 'h20;
                mem['h567] = 'h00;
                mem['h568] = 'h00;
                mem['h569] = 'h00;
                mem['h56A] = 'h00;
                mem['h56B] = 'h7C;
                mem['h56C] = 'h00;
                mem['h56D] = 'h00;
                mem['h56E] = 'h00;
                mem['h56F] = 'h00;
                mem['h570] = 'h00;
                mem['h571] = 'h00;
                mem['h572] = 'h00;
                mem['h573] = 'h00;
                mem['h574] = 'h00;
                mem['h575] = 'h30;
                mem['h576] = 'h30;
                mem['h577] = 'h00;
                mem['h578] = 'h00;
                mem['h579] = 'h04;
                mem['h57A] = 'h08;
                mem['h57B] = 'h10;
                mem['h57C] = 'h20;
                mem['h57D] = 'h40;
                mem['h57E] = 'h00;
                mem['h57F] = 'h00;
                mem['h580] = 'h38;
                mem['h581] = 'h44;
                mem['h582] = 'h4C;
                mem['h583] = 'h54;
                mem['h584] = 'h64;
                mem['h585] = 'h44;
                mem['h586] = 'h38;
                mem['h587] = 'h00;
                mem['h588] = 'h10;
                mem['h589] = 'h30;
                mem['h58A] = 'h10;
                mem['h58B] = 'h10;
                mem['h58C] = 'h10;
                mem['h58D] = 'h10;
                mem['h58E] = 'h38;
                mem['h58F] = 'h00;
                mem['h590] = 'h38;
                mem['h591] = 'h44;
                mem['h592] = 'h04;
                mem['h593] = 'h08;
                mem['h594] = 'h10;
                mem['h595] = 'h20;
                mem['h596] = 'h7C;
                mem['h597] = 'h00;
                mem['h598] = 'h7C;
                mem['h599] = 'h04;
                mem['h59A] = 'h08;
                mem['h59B] = 'h18;
                mem['h59C] = 'h04;
                mem['h59D] = 'h44;
                mem['h59E] = 'h38;
                mem['h59F] = 'h00;
                mem['h5A0] = 'h08;
                mem['h5A1] = 'h18;
                mem['h5A2] = 'h28;
                mem['h5A3] = 'h48;
                mem['h5A4] = 'h7C;
                mem['h5A5] = 'h08;
                mem['h5A6] = 'h08;
                mem['h5A7] = 'h00;
                mem['h5A8] = 'h7C;
                mem['h5A9] = 'h40;
                mem['h5AA] = 'h78;
                mem['h5AB] = 'h04;
                mem['h5AC] = 'h04;
                mem['h5AD] = 'h44;
                mem['h5AE] = 'h38;
                mem['h5AF] = 'h00;
                mem['h5B0] = 'h1C;
                mem['h5B1] = 'h20;
                mem['h5B2] = 'h40;
                mem['h5B3] = 'h78;
                mem['h5B4] = 'h44;
                mem['h5B5] = 'h44;
                mem['h5B6] = 'h38;
                mem['h5B7] = 'h00;
                mem['h5B8] = 'h7C;
                mem['h5B9] = 'h04;
                mem['h5BA] = 'h08;
                mem['h5BB] = 'h10;
                mem['h5BC] = 'h20;
                mem['h5BD] = 'h20;
                mem['h5BE] = 'h20;
                mem['h5BF] = 'h00;
                mem['h5C0] = 'h38;
                mem['h5C1] = 'h44;
                mem['h5C2] = 'h44;
                mem['h5C3] = 'h38;
                mem['h5C4] = 'h44;
                mem['h5C5] = 'h44;
                mem['h5C6] = 'h38;
                mem['h5C7] = 'h00;
                mem['h5C8] = 'h38;
                mem['h5C9] = 'h44;
                mem['h5CA] = 'h44;
                mem['h5CB] = 'h3C;
                mem['h5CC] = 'h04;
                mem['h5CD] = 'h08;
                mem['h5CE] = 'h70;
                mem['h5CF] = 'h00;
                mem['h5D0] = 'h00;
                mem['h5D1] = 'h00;
                mem['h5D2] = 'h18;
                mem['h5D3] = 'h18;
                mem['h5D4] = 'h00;
                mem['h5D5] = 'h18;
                mem['h5D6] = 'h18;
                mem['h5D7] = 'h00;
                mem['h5D8] = 'h18;
                mem['h5D9] = 'h18;
                mem['h5DA] = 'h00;
                mem['h5DB] = 'h18;
                mem['h5DC] = 'h18;
                mem['h5DD] = 'h08;
                mem['h5DE] = 'h10;
                mem['h5DF] = 'h00;
                mem['h5E0] = 'h04;
                mem['h5E1] = 'h08;
                mem['h5E2] = 'h10;
                mem['h5E3] = 'h20;
                mem['h5E4] = 'h10;
                mem['h5E5] = 'h08;
                mem['h5E6] = 'h04;
                mem['h5E7] = 'h00;
                mem['h5E8] = 'h00;
                mem['h5E9] = 'h00;
                mem['h5EA] = 'h7C;
                mem['h5EB] = 'h00;
                mem['h5EC] = 'h7C;
                mem['h5ED] = 'h00;
                mem['h5EE] = 'h00;
                mem['h5EF] = 'h00;
                mem['h5F0] = 'h20;
                mem['h5F1] = 'h10;
                mem['h5F2] = 'h08;
                mem['h5F3] = 'h04;
                mem['h5F4] = 'h08;
                mem['h5F5] = 'h10;
                mem['h5F6] = 'h20;
                mem['h5F7] = 'h00;
                mem['h5F8] = 'h38;
                mem['h5F9] = 'h44;
                mem['h5FA] = 'h08;
                mem['h5FB] = 'h10;
                mem['h5FC] = 'h10;
                mem['h5FD] = 'h00;
                mem['h5FE] = 'h10;
                mem['h5FF] = 'h00;
                mem['h600] = 'h38;
                mem['h601] = 'h44;
                mem['h602] = 'h5C;
                mem['h603] = 'h54;
                mem['h604] = 'h5C;
                mem['h605] = 'h40;
                mem['h606] = 'h3C;
                mem['h607] = 'h00;
                mem['h608] = 'h10;
                mem['h609] = 'h28;
                mem['h60A] = 'h44;
                mem['h60B] = 'h44;
                mem['h60C] = 'h7C;
                mem['h60D] = 'h44;
                mem['h60E] = 'h44;
                mem['h60F] = 'h00;
                mem['h610] = 'h78;
                mem['h611] = 'h44;
                mem['h612] = 'h44;
                mem['h613] = 'h78;
                mem['h614] = 'h44;
                mem['h615] = 'h44;
                mem['h616] = 'h78;
                mem['h617] = 'h00;
                mem['h618] = 'h38;
                mem['h619] = 'h44;
                mem['h61A] = 'h40;
                mem['h61B] = 'h40;
                mem['h61C] = 'h40;
                mem['h61D] = 'h44;
                mem['h61E] = 'h38;
                mem['h61F] = 'h00;
                mem['h620] = 'h78;
                mem['h621] = 'h44;
                mem['h622] = 'h44;
                mem['h623] = 'h44;
                mem['h624] = 'h44;
                mem['h625] = 'h44;
                mem['h626] = 'h78;
                mem['h627] = 'h00;
                mem['h628] = 'h7C;
                mem['h629] = 'h40;
                mem['h62A] = 'h40;
                mem['h62B] = 'h78;
                mem['h62C] = 'h40;
                mem['h62D] = 'h40;
                mem['h62E] = 'h7C;
                mem['h62F] = 'h00;
                mem['h630] = 'h7C;
                mem['h631] = 'h40;
                mem['h632] = 'h40;
                mem['h633] = 'h78;
                mem['h634] = 'h40;
                mem['h635] = 'h40;
                mem['h636] = 'h40;
                mem['h637] = 'h00;
                mem['h638] = 'h3C;
                mem['h639] = 'h40;
                mem['h63A] = 'h40;
                mem['h63B] = 'h40;
                mem['h63C] = 'h4C;
                mem['h63D] = 'h44;
                mem['h63E] = 'h3C;
                mem['h63F] = 'h00;
                mem['h640] = 'h44;
                mem['h641] = 'h44;
                mem['h642] = 'h44;
                mem['h643] = 'h7C;
                mem['h644] = 'h44;
                mem['h645] = 'h44;
                mem['h646] = 'h44;
                mem['h647] = 'h00;
                mem['h648] = 'h38;
                mem['h649] = 'h10;
                mem['h64A] = 'h10;
                mem['h64B] = 'h10;
                mem['h64C] = 'h10;
                mem['h64D] = 'h10;
                mem['h64E] = 'h38;
                mem['h64F] = 'h00;
                mem['h650] = 'h04;
                mem['h651] = 'h04;
                mem['h652] = 'h04;
                mem['h653] = 'h04;
                mem['h654] = 'h04;
                mem['h655] = 'h44;
                mem['h656] = 'h38;
                mem['h657] = 'h00;
                mem['h658] = 'h44;
                mem['h659] = 'h48;
                mem['h65A] = 'h50;
                mem['h65B] = 'h60;
                mem['h65C] = 'h50;
                mem['h65D] = 'h48;
                mem['h65E] = 'h44;
                mem['h65F] = 'h00;
                mem['h660] = 'h40;
                mem['h661] = 'h40;
                mem['h662] = 'h40;
                mem['h663] = 'h40;
                mem['h664] = 'h40;
                mem['h665] = 'h40;
                mem['h666] = 'h7C;
                mem['h667] = 'h00;
                mem['h668] = 'h44;
                mem['h669] = 'h6C;
                mem['h66A] = 'h54;
                mem['h66B] = 'h54;
                mem['h66C] = 'h44;
                mem['h66D] = 'h44;
                mem['h66E] = 'h44;
                mem['h66F] = 'h00;
                mem['h670] = 'h44;
                mem['h671] = 'h44;
                mem['h672] = 'h64;
                mem['h673] = 'h54;
                mem['h674] = 'h4C;
                mem['h675] = 'h44;
                mem['h676] = 'h44;
                mem['h677] = 'h00;
                mem['h678] = 'h38;
                mem['h679] = 'h44;
                mem['h67A] = 'h44;
                mem['h67B] = 'h44;
                mem['h67C] = 'h44;
                mem['h67D] = 'h44;
                mem['h67E] = 'h38;
                mem['h67F] = 'h00;
                mem['h680] = 'h78;
                mem['h681] = 'h44;
                mem['h682] = 'h44;
                mem['h683] = 'h78;
                mem['h684] = 'h40;
                mem['h685] = 'h40;
                mem['h686] = 'h40;
                mem['h687] = 'h00;
                mem['h688] = 'h38;
                mem['h689] = 'h44;
                mem['h68A] = 'h44;
                mem['h68B] = 'h44;
                mem['h68C] = 'h54;
                mem['h68D] = 'h48;
                mem['h68E] = 'h34;
                mem['h68F] = 'h00;
                mem['h690] = 'h78;
                mem['h691] = 'h44;
                mem['h692] = 'h44;
                mem['h693] = 'h78;
                mem['h694] = 'h50;
                mem['h695] = 'h48;
                mem['h696] = 'h44;
                mem['h697] = 'h00;
                mem['h698] = 'h38;
                mem['h699] = 'h44;
                mem['h69A] = 'h40;
                mem['h69B] = 'h38;
                mem['h69C] = 'h04;
                mem['h69D] = 'h44;
                mem['h69E] = 'h38;
                mem['h69F] = 'h00;
                mem['h6A0] = 'h7C;
                mem['h6A1] = 'h10;
                mem['h6A2] = 'h10;
                mem['h6A3] = 'h10;
                mem['h6A4] = 'h10;
                mem['h6A5] = 'h10;
                mem['h6A6] = 'h10;
                mem['h6A7] = 'h00;
                mem['h6A8] = 'h44;
                mem['h6A9] = 'h44;
                mem['h6AA] = 'h44;
                mem['h6AB] = 'h44;
                mem['h6AC] = 'h44;
                mem['h6AD] = 'h44;
                mem['h6AE] = 'h38;
                mem['h6AF] = 'h00;
                mem['h6B0] = 'h44;
                mem['h6B1] = 'h44;
                mem['h6B2] = 'h44;
                mem['h6B3] = 'h44;
                mem['h6B4] = 'h44;
                mem['h6B5] = 'h28;
                mem['h6B6] = 'h10;
                mem['h6B7] = 'h00;
                mem['h6B8] = 'h44;
                mem['h6B9] = 'h44;
                mem['h6BA] = 'h44;
                mem['h6BB] = 'h54;
                mem['h6BC] = 'h54;
                mem['h6BD] = 'h6C;
                mem['h6BE] = 'h44;
                mem['h6BF] = 'h00;
                mem['h6C0] = 'h44;
                mem['h6C1] = 'h44;
                mem['h6C2] = 'h28;
                mem['h6C3] = 'h10;
                mem['h6C4] = 'h28;
                mem['h6C5] = 'h44;
                mem['h6C6] = 'h44;
                mem['h6C7] = 'h00;
                mem['h6C8] = 'h44;
                mem['h6C9] = 'h44;
                mem['h6CA] = 'h28;
                mem['h6CB] = 'h10;
                mem['h6CC] = 'h10;
                mem['h6CD] = 'h10;
                mem['h6CE] = 'h10;
                mem['h6CF] = 'h00;
                mem['h6D0] = 'h7C;
                mem['h6D1] = 'h04;
                mem['h6D2] = 'h08;
                mem['h6D3] = 'h10;
                mem['h6D4] = 'h20;
                mem['h6D5] = 'h40;
                mem['h6D6] = 'h7C;
                mem['h6D7] = 'h00;
                mem['h6D8] = 'h7C;
                mem['h6D9] = 'h60;
                mem['h6DA] = 'h60;
                mem['h6DB] = 'h60;
                mem['h6DC] = 'h60;
                mem['h6DD] = 'h60;
                mem['h6DE] = 'h7C;
                mem['h6DF] = 'h00;
                mem['h6E0] = 'h00;
                mem['h6E1] = 'h40;
                mem['h6E2] = 'h20;
                mem['h6E3] = 'h10;
                mem['h6E4] = 'h08;
                mem['h6E5] = 'h04;
                mem['h6E6] = 'h00;
                mem['h6E7] = 'h00;
                mem['h6E8] = 'h7C;
                mem['h6E9] = 'h0C;
                mem['h6EA] = 'h0C;
                mem['h6EB] = 'h0C;
                mem['h6EC] = 'h0C;
                mem['h6ED] = 'h0C;
                mem['h6EE] = 'h7C;
                mem['h6EF] = 'h00;
                mem['h6F0] = 'h00;
                mem['h6F1] = 'h10;
                mem['h6F2] = 'h28;
                mem['h6F3] = 'h44;
                mem['h6F4] = 'h00;
                mem['h6F5] = 'h00;
                mem['h6F6] = 'h00;
                mem['h6F7] = 'h00;
                mem['h6F8] = 'h00;
                mem['h6F9] = 'h00;
                mem['h6FA] = 'h00;
                mem['h6FB] = 'h00;
                mem['h6FC] = 'h00;
                mem['h6FD] = 'h00;
                mem['h6FE] = 'h00;
                mem['h6FF] = 'hFF;
                mem['h700] = 'h5C;
                mem['h701] = 'h54;
                mem['h702] = 'h54;
                mem['h703] = 'h74;
                mem['h704] = 'h54;
                mem['h705] = 'h54;
                mem['h706] = 'h5C;
                mem['h707] = 'h00;
                mem['h708] = 'h38;
                mem['h709] = 'h44;
                mem['h70A] = 'h44;
                mem['h70B] = 'h44;
                mem['h70C] = 'h7C;
                mem['h70D] = 'h44;
                mem['h70E] = 'h44;
                mem['h70F] = 'h00;
                mem['h710] = 'h7C;
                mem['h711] = 'h40;
                mem['h712] = 'h40;
                mem['h713] = 'h78;
                mem['h714] = 'h44;
                mem['h715] = 'h44;
                mem['h716] = 'h78;
                mem['h717] = 'h00;
                mem['h718] = 'h48;
                mem['h719] = 'h48;
                mem['h71A] = 'h48;
                mem['h71B] = 'h48;
                mem['h71C] = 'h48;
                mem['h71D] = 'h48;
                mem['h71E] = 'h7C;
                mem['h71F] = 'h04;
                mem['h720] = 'h1C;
                mem['h721] = 'h24;
                mem['h722] = 'h24;
                mem['h723] = 'h24;
                mem['h724] = 'h24;
                mem['h725] = 'h24;
                mem['h726] = 'h7E;
                mem['h727] = 'h42;
                mem['h728] = 'h7C;
                mem['h729] = 'h40;
                mem['h72A] = 'h40;
                mem['h72B] = 'h78;
                mem['h72C] = 'h40;
                mem['h72D] = 'h40;
                mem['h72E] = 'h7C;
                mem['h72F] = 'h00;
                mem['h730] = 'h38;
                mem['h731] = 'h54;
                mem['h732] = 'h54;
                mem['h733] = 'h54;
                mem['h734] = 'h38;
                mem['h735] = 'h10;
                mem['h736] = 'h10;
                mem['h737] = 'h00;
                mem['h738] = 'h7C;
                mem['h739] = 'h40;
                mem['h73A] = 'h40;
                mem['h73B] = 'h40;
                mem['h73C] = 'h40;
                mem['h73D] = 'h40;
                mem['h73E] = 'h40;
                mem['h73F] = 'h00;
                mem['h740] = 'h44;
                mem['h741] = 'h44;
                mem['h742] = 'h28;
                mem['h743] = 'h10;
                mem['h744] = 'h28;
                mem['h745] = 'h44;
                mem['h746] = 'h44;
                mem['h747] = 'h00;
                mem['h748] = 'h44;
                mem['h749] = 'h44;
                mem['h74A] = 'h44;
                mem['h74B] = 'h4C;
                mem['h74C] = 'h54;
                mem['h74D] = 'h64;
                mem['h74E] = 'h44;
                mem['h74F] = 'h00;
                mem['h750] = 'h54;
                mem['h751] = 'h54;
                mem['h752] = 'h44;
                mem['h753] = 'h4C;
                mem['h754] = 'h54;
                mem['h755] = 'h64;
                mem['h756] = 'h44;
                mem['h757] = 'h00;
                mem['h758] = 'h44;
                mem['h759] = 'h48;
                mem['h75A] = 'h50;
                mem['h75B] = 'h60;
                mem['h75C] = 'h50;
                mem['h75D] = 'h48;
                mem['h75E] = 'h44;
                mem['h75F] = 'h00;
                mem['h760] = 'h0C;
                mem['h761] = 'h14;
                mem['h762] = 'h24;
                mem['h763] = 'h24;
                mem['h764] = 'h24;
                mem['h765] = 'h24;
                mem['h766] = 'h44;
                mem['h767] = 'h00;
                mem['h768] = 'h44;
                mem['h769] = 'h6C;
                mem['h76A] = 'h54;
                mem['h76B] = 'h54;
                mem['h76C] = 'h44;
                mem['h76D] = 'h44;
                mem['h76E] = 'h44;
                mem['h76F] = 'h00;
                mem['h770] = 'h44;
                mem['h771] = 'h44;
                mem['h772] = 'h44;
                mem['h773] = 'h7C;
                mem['h774] = 'h44;
                mem['h775] = 'h44;
                mem['h776] = 'h44;
                mem['h777] = 'h00;
                mem['h778] = 'h38;
                mem['h779] = 'h44;
                mem['h77A] = 'h44;
                mem['h77B] = 'h44;
                mem['h77C] = 'h44;
                mem['h77D] = 'h44;
                mem['h77E] = 'h38;
                mem['h77F] = 'h00;
                mem['h780] = 'h7C;
                mem['h781] = 'h44;
                mem['h782] = 'h44;
                mem['h783] = 'h44;
                mem['h784] = 'h44;
                mem['h785] = 'h44;
                mem['h786] = 'h44;
                mem['h787] = 'h00;
                mem['h788] = 'h3C;
                mem['h789] = 'h44;
                mem['h78A] = 'h44;
                mem['h78B] = 'h3C;
                mem['h78C] = 'h14;
                mem['h78D] = 'h24;
                mem['h78E] = 'h44;
                mem['h78F] = 'h00;
                mem['h790] = 'h78;
                mem['h791] = 'h44;
                mem['h792] = 'h44;
                mem['h793] = 'h78;
                mem['h794] = 'h40;
                mem['h795] = 'h40;
                mem['h796] = 'h40;
                mem['h797] = 'h00;
                mem['h798] = 'h38;
                mem['h799] = 'h44;
                mem['h79A] = 'h40;
                mem['h79B] = 'h40;
                mem['h79C] = 'h40;
                mem['h79D] = 'h44;
                mem['h79E] = 'h38;
                mem['h79F] = 'h00;
                mem['h7A0] = 'h7C;
                mem['h7A1] = 'h10;
                mem['h7A2] = 'h10;
                mem['h7A3] = 'h10;
                mem['h7A4] = 'h10;
                mem['h7A5] = 'h10;
                mem['h7A6] = 'h10;
                mem['h7A7] = 'h00;
                mem['h7A8] = 'h44;
                mem['h7A9] = 'h44;
                mem['h7AA] = 'h44;
                mem['h7AB] = 'h3C;
                mem['h7AC] = 'h04;
                mem['h7AD] = 'h44;
                mem['h7AE] = 'h38;
                mem['h7AF] = 'h00;
                mem['h7B0] = 'h54;
                mem['h7B1] = 'h54;
                mem['h7B2] = 'h54;
                mem['h7B3] = 'h38;
                mem['h7B4] = 'h54;
                mem['h7B5] = 'h54;
                mem['h7B6] = 'h54;
                mem['h7B7] = 'h00;
                mem['h7B8] = 'h78;
                mem['h7B9] = 'h44;
                mem['h7BA] = 'h44;
                mem['h7BB] = 'h78;
                mem['h7BC] = 'h44;
                mem['h7BD] = 'h44;
                mem['h7BE] = 'h78;
                mem['h7BF] = 'h00;
                mem['h7C0] = 'h40;
                mem['h7C1] = 'h40;
                mem['h7C2] = 'h40;
                mem['h7C3] = 'h78;
                mem['h7C4] = 'h44;
                mem['h7C5] = 'h44;
                mem['h7C6] = 'h78;
                mem['h7C7] = 'h00;
                mem['h7C8] = 'h44;
                mem['h7C9] = 'h44;
                mem['h7CA] = 'h44;
                mem['h7CB] = 'h74;
                mem['h7CC] = 'h54;
                mem['h7CD] = 'h54;
                mem['h7CE] = 'h74;
                mem['h7CF] = 'h00;
                mem['h7D0] = 'h38;
                mem['h7D1] = 'h44;
                mem['h7D2] = 'h04;
                mem['h7D3] = 'h18;
                mem['h7D4] = 'h04;
                mem['h7D5] = 'h44;
                mem['h7D6] = 'h38;
                mem['h7D7] = 'h00;
                mem['h7D8] = 'h54;
                mem['h7D9] = 'h54;
                mem['h7DA] = 'h54;
                mem['h7DB] = 'h54;
                mem['h7DC] = 'h54;
                mem['h7DD] = 'h54;
                mem['h7DE] = 'h7C;
                mem['h7DF] = 'h00;
                mem['h7E0] = 'h78;
                mem['h7E1] = 'h04;
                mem['h7E2] = 'h04;
                mem['h7E3] = 'h3C;
                mem['h7E4] = 'h04;
                mem['h7E5] = 'h04;
                mem['h7E6] = 'h78;
                mem['h7E7] = 'h00;
                mem['h7E8] = 'h54;
                mem['h7E9] = 'h54;
                mem['h7EA] = 'h54;
                mem['h7EB] = 'h54;
                mem['h7EC] = 'h54;
                mem['h7ED] = 'h54;
                mem['h7EE] = 'h7C;
                mem['h7EF] = 'h04;
                mem['h7F0] = 'h44;
                mem['h7F1] = 'h44;
                mem['h7F2] = 'h44;
                mem['h7F3] = 'h3C;
                mem['h7F4] = 'h04;
                mem['h7F5] = 'h04;
                mem['h7F6] = 'h04;
                mem['h7F7] = 'h00;
                mem['h7F8] = 'h60;
                mem['h7F9] = 'h20;
                mem['h7FA] = 'h20;
                mem['h7FB] = 'h38;
                mem['h7FC] = 'h24;
                mem['h7FD] = 'h24;
                mem['h7FE] = 'h38;
                mem['h7FF] = 'h00;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.