OpenCores
URL https://opencores.org/ocsvn/ahb2wishbone/ahb2wishbone/trunk

Subversion Repositories ahb2wishbone

[/] [ahb2wishbone/] [trunk/] [svtb/] [sim_svtb/] [ahb_wb_pkg.sv] - Rev 10

Compare with Previous | Blame | View Log

`timescale 1 ns/1 ps
package ahb_wb_pkg;
import global::*;
        `include "../avm_svtb/ahb_wb_stim_gen.svh"
        `include "../avm_svtb/ahb_wb_driver.svh"
        `include "../avm_svtb/ahb_wb_responder.svh"
        `include "../avm_svtb/ahb_wb_monitor.svh"
        `include "../avm_svtb/ahb_wb_scoreboard.svh"
        `include "../avm_svtb/ahb_wb_coverage.svh"
        `include "../avm_svtb/ahb_wb_env.svh"
endpackage
        

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.