OpenCores
URL https://opencores.org/ocsvn/ahb2wishbone/ahb2wishbone/trunk

Subversion Repositories ahb2wishbone

[/] [ahb2wishbone/] [trunk/] [svtb/] [sim_svtb/] [cov_run.all] - Rev 5

Go to most recent revision | Compare with Previous | Blame | View Log

rm -rf ./work
vlib work
vlog -f compile_sv.f
rm cover_rpt.ucdb cover_rpt.out
vsim -c ahb_wb_top -do "run 1110ns ; fcover save cover_rpt.ucdb; vcover report -cvg -details cover_rpt.ucdb | tee cover_rpt.out; exit"
gvim cover_rpt.out

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.