URL
https://opencores.org/ocsvn/ao486/ao486/trunk
Subversion Repositories ao486
[/] [ao486/] [trunk/] [syn/] [components/] [sound/] [soc.cmp] - Rev 2
Compare with Previous | Blame | View Log
component soc is
port (
clk_sys_clk : in std_logic := 'X'; -- clk
reset_sys_reset_n : in std_logic := 'X'; -- reset_n
clk_12_clk : in std_logic := 'X'; -- clk
reset_12_reset_n : in std_logic := 'X'; -- reset_n
sound_conduit_speaker_enable : in std_logic := 'X'; -- speaker_enable
sound_conduit_speaker_out : in std_logic := 'X'; -- speaker_out
sound_conduit_dma_soundblaster_req : out std_logic; -- dma_soundblaster_req
sound_conduit_dma_soundblaster_ack : in std_logic := 'X'; -- dma_soundblaster_ack
sound_conduit_dma_soundblaster_terminal : in std_logic := 'X'; -- dma_soundblaster_terminal
sound_conduit_dma_soundblaster_readdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- dma_soundblaster_readdata
sound_conduit_dma_soundblaster_writedata : out std_logic_vector(7 downto 0); -- dma_soundblaster_writedata
sound_conduit_ac_sclk : out std_logic; -- ac_sclk
sound_conduit_ac_sdat : inout std_logic := 'X'; -- ac_sdat
sound_conduit_ac_xclk : out std_logic; -- ac_xclk
sound_conduit_ac_bclk : out std_logic; -- ac_bclk
sound_conduit_ac_dat : out std_logic; -- ac_dat
sound_conduit_ac_lr : out std_logic -- ac_lr
);
end component soc;