OpenCores
URL https://opencores.org/ocsvn/astron_r2sdf_fft/astron_r2sdf_fft/trunk

Subversion Repositories astron_r2sdf_fft

[/] [astron_r2sdf_fft/] [trunk/] [twiddlesPkg.vhd] - Rev 4

Go to most recent revision | Compare with Previous | Blame | View Log

------------------------------------- 
--FILE GENERATED BY TWIDDLE GENERATOR 
--DO NOT EDIT THIS FILE !!! 
-------------------------------------
--Author	:R.T.Rajan      
--Date    :05-Mar-2013
--Npoints :4096
--Nbits   :18
-------------------------------------
 
 
Library ieee; 
use ieee.std_logic_1164.all; 
use ieee.numeric_std.all; 
 
 package twiddlesPkg is 
 constant copyRightNotice: string 
 := "Copyright 2009 , ASTRON. All rights reserved."; 
 
   subtype wTyp is std_logic_vector(17 downto 0); 
   type wRowTyp is array( 1 to		2048 ) of wTyp; 
   type wMapTyp is array( integer range 0 to	2047, integer range 	12	downto 1) of natural; 
 
   constant wRe: wRowTyp := 
  ( 
      b"011111111111111111",
      b"011111111111111110",
      b"011111111111111110",
      b"011111111111111101",
      b"011111111111111100",
      b"011111111111111011",
      b"011111111111111001",
      b"011111111111110111",
      b"011111111111110101",
      b"011111111111110010",
      b"011111111111101111",
      b"011111111111101100",
      b"011111111111101000",
      b"011111111111100100",
      b"011111111111100000",
      b"011111111111011100",
      b"011111111111010111",
      b"011111111111010010",
      b"011111111111001101",
      b"011111111111000111",
      b"011111111111000001",
      b"011111111110111010",
      b"011111111110110100",
      b"011111111110101101",
      b"011111111110100110",
      b"011111111110011110",
      b"011111111110010110",
      b"011111111110001110",
      b"011111111110000110",
      b"011111111101111101",
      b"011111111101110100",
      b"011111111101101010",
      b"011111111101100001",
      b"011111111101010111",
      b"011111111101001100",
      b"011111111101000010",
      b"011111111100110111",
      b"011111111100101011",
      b"011111111100100000",
      b"011111111100010100",
      b"011111111100001000",
      b"011111111011111011",
      b"011111111011101111",
      b"011111111011100001",
      b"011111111011010100",
      b"011111111011000110",
      b"011111111010111000",
      b"011111111010101010",
      b"011111111010011011",
      b"011111111010001100",
      b"011111111001111101",
      b"011111111001101110",
      b"011111111001011110",
      b"011111111001001110",
      b"011111111000111101",
      b"011111111000101100",
      b"011111111000011011",
      b"011111111000001010",
      b"011111110111111000",
      b"011111110111100110",
      b"011111110111010100",
      b"011111110111000001",
      b"011111110110101110",
      b"011111110110011011",
      b"011111110110000111",
      b"011111110101110011",
      b"011111110101011111",
      b"011111110101001011",
      b"011111110100110110",
      b"011111110100100001",
      b"011111110100001100",
      b"011111110011110110",
      b"011111110011100000",
      b"011111110011001010",
      b"011111110010110011",
      b"011111110010011100",
      b"011111110010000101",
      b"011111110001101101",
      b"011111110001010101",
      b"011111110000111101",
      b"011111110000100101",
      b"011111110000001100",
      b"011111101111110011",
      b"011111101111011010",
      b"011111101111000000",
      b"011111101110100110",
      b"011111101110001100",
      b"011111101101110001",
      b"011111101101010110",
      b"011111101100111011",
      b"011111101100011111",
      b"011111101100000100",
      b"011111101011100111",
      b"011111101011001011",
      b"011111101010101110",
      b"011111101010010001",
      b"011111101001110100",
      b"011111101001010110",
      b"011111101000111000",
      b"011111101000011010",
      b"011111100111111011",
      b"011111100111011101",
      b"011111100110111101",
      b"011111100110011110",
      b"011111100101111110",
      b"011111100101011110",
      b"011111100100111110",
      b"011111100100011101",
      b"011111100011111100",
      b"011111100011011011",
      b"011111100010111001",
      b"011111100010010111",
      b"011111100001110101",
      b"011111100001010010",
      b"011111100000101111",
      b"011111100000001100",
      b"011111011111101001",
      b"011111011111000101",
      b"011111011110100001",
      b"011111011101111101",
      b"011111011101011000",
      b"011111011100110011",
      b"011111011100001110",
      b"011111011011101000",
      b"011111011011000010",
      b"011111011010011100",
      b"011111011001110110",
      b"011111011001001111",
      b"011111011000101000",
      b"011111011000000001",
      b"011111010111011001",
      b"011111010110110001",
      b"011111010110001001",
      b"011111010101100000",
      b"011111010100110111",
      b"011111010100001110",
      b"011111010011100101",
      b"011111010010111011",
      b"011111010010010001",
      b"011111010001100110",
      b"011111010000111100",
      b"011111010000010001",
      b"011111001111100101",
      b"011111001110111010",
      b"011111001110001110",
      b"011111001101100010",
      b"011111001100110101",
      b"011111001100001000",
      b"011111001011011011",
      b"011111001010101110",
      b"011111001010000000",
      b"011111001001010010",
      b"011111001000100100",
      b"011111000111110101",
      b"011111000111000110",
      b"011111000110010111",
      b"011111000101100111",
      b"011111000100111000",
      b"011111000100001000",
      b"011111000011010111",
      b"011111000010100110",
      b"011111000001110101",
      b"011111000001000100",
      b"011111000000010011",
      b"011110111111100001",
      b"011110111110101110",
      b"011110111101111100",
      b"011110111101001001",
      b"011110111100010110",
      b"011110111011100011",
      b"011110111010101111",
      b"011110111001111011",
      b"011110111001000111",
      b"011110111000010010",
      b"011110110111011101",
      b"011110110110101000",
      b"011110110101110011",
      b"011110110100111101",
      b"011110110100000111",
      b"011110110011010000",
      b"011110110010011010",
      b"011110110001100011",
      b"011110110000101011",
      b"011110101111110100",
      b"011110101110111100",
      b"011110101110000100",
      b"011110101101001011",
      b"011110101100010011",
      b"011110101011011010",
      b"011110101010100000",
      b"011110101001100111",
      b"011110101000101101",
      b"011110100111110011",
      b"011110100110111000",
      b"011110100101111101",
      b"011110100101000010",
      b"011110100100000111",
      b"011110100011001011",
      b"011110100010001111",
      b"011110100001010011",
      b"011110100000010110",
      b"011110011111011001",
      b"011110011110011100",
      b"011110011101011111",
      b"011110011100100001",
      b"011110011011100011",
      b"011110011010100101",
      b"011110011001100110",
      b"011110011000100111",
      b"011110010111101000",
      b"011110010110101000",
      b"011110010101101001",
      b"011110010100101000",
      b"011110010011101000",
      b"011110010010100111",
      b"011110010001100110",
      b"011110010000100101",
      b"011110001111100100",
      b"011110001110100010",
      b"011110001101100000",
      b"011110001100011101",
      b"011110001011011011",
      b"011110001010011000",
      b"011110001001010100",
      b"011110001000010001",
      b"011110000111001101",
      b"011110000110001001",
      b"011110000101000100",
      b"011110000011111111",
      b"011110000010111010",
      b"011110000001110101",
      b"011110000000101111",
      b"011101111111101001",
      b"011101111110100011",
      b"011101111101011101",
      b"011101111100010110",
      b"011101111011001111",
      b"011101111010001000",
      b"011101111001000000",
      b"011101110111111000",
      b"011101110110110000",
      b"011101110101100111",
      b"011101110100011111",
      b"011101110011010101",
      b"011101110010001100",
      b"011101110001000010",
      b"011101101111111000",
      b"011101101110101110",
      b"011101101101100100",
      b"011101101100011001",
      b"011101101011001110",
      b"011101101010000010",
      b"011101101000110111",
      b"011101100111101011",
      b"011101100110011111",
      b"011101100101010010",
      b"011101100100000101",
      b"011101100010111000",
      b"011101100001101011",
      b"011101100000011101",
      b"011101011111001111",
      b"011101011110000001",
      b"011101011100110011",
      b"011101011011100100",
      b"011101011010010101",
      b"011101011001000101",
      b"011101010111110110",
      b"011101010110100110",
      b"011101010101010110",
      b"011101010100000101",
      b"011101010010110100",
      b"011101010001100011",
      b"011101010000010010",
      b"011101001111000000",
      b"011101001101101110",
      b"011101001100011100",
      b"011101001011001010",
      b"011101001001110111",
      b"011101001000100100",
      b"011101000111010001",
      b"011101000101111101",
      b"011101000100101001",
      b"011101000011010101",
      b"011101000010000001",
      b"011101000000101100",
      b"011100111111010111",
      b"011100111110000010",
      b"011100111100101100",
      b"011100111011010110",
      b"011100111010000000",
      b"011100111000101010",
      b"011100110111010011",
      b"011100110101111100",
      b"011100110100100101",
      b"011100110011001101",
      b"011100110001110110",
      b"011100110000011110",
      b"011100101111000101",
      b"011100101101101101",
      b"011100101100010100",
      b"011100101010111011",
      b"011100101001100001",
      b"011100101000001000",
      b"011100100110101110",
      b"011100100101010011",
      b"011100100011111001",
      b"011100100010011110",
      b"011100100001000011",
      b"011100011111101000",
      b"011100011110001100",
      b"011100011100110000",
      b"011100011011010100",
      b"011100011001110111",
      b"011100011000011011",
      b"011100010110111110",
      b"011100010101100000",
      b"011100010100000011",
      b"011100010010100101",
      b"011100010001000111",
      b"011100001111101000",
      b"011100001110001010",
      b"011100001100101011",
      b"011100001011001100",
      b"011100001001101100",
      b"011100001000001101",
      b"011100000110101101",
      b"011100000101001100",
      b"011100000011101100",
      b"011100000010001011",
      b"011100000000101010",
      b"011011111111001000",
      b"011011111101100111",
      b"011011111100000101",
      b"011011111010100011",
      b"011011111001000000",
      b"011011110111011110",
      b"011011110101111011",
      b"011011110100010111",
      b"011011110010110100",
      b"011011110001010000",
      b"011011101111101100",
      b"011011101110001000",
      b"011011101100100011",
      b"011011101010111110",
      b"011011101001011001",
      b"011011100111110100",
      b"011011100110001110",
      b"011011100100101000",
      b"011011100011000010",
      b"011011100001011100",
      b"011011011111110101",
      b"011011011110001110",
      b"011011011100100111",
      b"011011011010111111",
      b"011011011001011000",
      b"011011010111110000",
      b"011011010110000111",
      b"011011010100011111",
      b"011011010010110110",
      b"011011010001001101",
      b"011011001111100011",
      b"011011001101111010",
      b"011011001100010000",
      b"011011001010100110",
      b"011011001000111011",
      b"011011000111010001",
      b"011011000101100110",
      b"011011000011111011",
      b"011011000010001111",
      b"011011000000100100",
      b"011010111110111000",
      b"011010111101001011",
      b"011010111011011111",
      b"011010111001110010",
      b"011010111000000101",
      b"011010110110011000",
      b"011010110100101010",
      b"011010110010111101",
      b"011010110001001111",
      b"011010101111100000",
      b"011010101101110010",
      b"011010101100000011",
      b"011010101010010100",
      b"011010101000100101",
      b"011010100110110101",
      b"011010100101000101",
      b"011010100011010101",
      b"011010100001100101",
      b"011010011111110100",
      b"011010011110000011",
      b"011010011100010010",
      b"011010011010100001",
      b"011010011000101111",
      b"011010010110111101",
      b"011010010101001011",
      b"011010010011011001",
      b"011010010001100110",
      b"011010001111110011",
      b"011010001110000000",
      b"011010001100001101",
      b"011010001010011001",
      b"011010001000100101",
      b"011010000110110001",
      b"011010000100111101",
      b"011010000011001000",
      b"011010000001010011",
      b"011001111111011110",
      b"011001111101101001",
      b"011001111011110011",
      b"011001111001111101",
      b"011001111000000111",
      b"011001110110010000",
      b"011001110100011010",
      b"011001110010100011",
      b"011001110000101100",
      b"011001101110110100",
      b"011001101100111101",
      b"011001101011000101",
      b"011001101001001101",
      b"011001100111010100",
      b"011001100101011100",
      b"011001100011100011",
      b"011001100001101010",
      b"011001011111110000",
      b"011001011101110111",
      b"011001011011111101",
      b"011001011010000011",
      b"011001011000001000",
      b"011001010110001110",
      b"011001010100010011",
      b"011001010010011000",
      b"011001010000011100",
      b"011001001110100001",
      b"011001001100100101",
      b"011001001010101001",
      b"011001001000101101",
      b"011001000110110000",
      b"011001000100110011",
      b"011001000010110110",
      b"011001000000111001",
      b"011000111110111100",
      b"011000111100111110",
      b"011000111011000000",
      b"011000111001000010",
      b"011000110111000011",
      b"011000110101000100",
      b"011000110011000101",
      b"011000110001000110",
      b"011000101111000111",
      b"011000101101000111",
      b"011000101011000111",
      b"011000101001000111",
      b"011000100111000111",
      b"011000100101000110",
      b"011000100011000101",
      b"011000100001000100",
      b"011000011111000011",
      b"011000011101000001",
      b"011000011010111111",
      b"011000011000111101",
      b"011000010110111011",
      b"011000010100111001",
      b"011000010010110110",
      b"011000010000110011",
      b"011000001110110000",
      b"011000001100101100",
      b"011000001010101000",
      b"011000001000100101",
      b"011000000110100000",
      b"011000000100011100",
      b"011000000010010111",
      b"011000000000010011",
      b"010111111110001110",
      b"010111111100001000",
      b"010111111010000011",
      b"010111110111111101",
      b"010111110101110111",
      b"010111110011110001",
      b"010111110001101010",
      b"010111101111100100",
      b"010111101101011101",
      b"010111101011010110",
      b"010111101001001110",
      b"010111100111000111",
      b"010111100100111111",
      b"010111100010110111",
      b"010111100000101110",
      b"010111011110100110",
      b"010111011100011101",
      b"010111011010010100",
      b"010111011000001011",
      b"010111010110000010",
      b"010111010011111000",
      b"010111010001101110",
      b"010111001111100100",
      b"010111001101011010",
      b"010111001011001111",
      b"010111001001000101",
      b"010111000110111010",
      b"010111000100101110",
      b"010111000010100011",
      b"010111000000010111",
      b"010110111110001011",
      b"010110111011111111",
      b"010110111001110011",
      b"010110110111100111",
      b"010110110101011010",
      b"010110110011001101",
      b"010110110001000000",
      b"010110101110110010",
      b"010110101100100101",
      b"010110101010010111",
      b"010110101000001001",
      b"010110100101111010",
      b"010110100011101100",
      b"010110100001011101",
      b"010110011111001110",
      b"010110011100111111",
      b"010110011010110000",
      b"010110011000100000",
      b"010110010110010000",
      b"010110010100000000",
      b"010110010001110000",
      b"010110001111100000",
      b"010110001101001111",
      b"010110001010111110",
      b"010110001000101101",
      b"010110000110011100",
      b"010110000100001010",
      b"010110000001111001",
      b"010101111111100111",
      b"010101111101010100",
      b"010101111011000010",
      b"010101111000110000",
      b"010101110110011101",
      b"010101110100001010",
      b"010101110001110111",
      b"010101101111100011",
      b"010101101101010000",
      b"010101101010111100",
      b"010101101000101000",
      b"010101100110010011",
      b"010101100011111111",
      b"010101100001101010",
      b"010101011111010101",
      b"010101011101000000",
      b"010101011010101011",
      b"010101011000010110",
      b"010101010110000000",
      b"010101010011101010",
      b"010101010001010100",
      b"010101001110111110",
      b"010101001100100111",
      b"010101001010010000",
      b"010101000111111001",
      b"010101000101100010",
      b"010101000011001011",
      b"010101000000110011",
      b"010100111110011100",
      b"010100111100000100",
      b"010100111001101100",
      b"010100110111010011",
      b"010100110100111011",
      b"010100110010100010",
      b"010100110000001001",
      b"010100101101110000",
      b"010100101011010110",
      b"010100101000111101",
      b"010100100110100011",
      b"010100100100001001",
      b"010100100001101111",
      b"010100011111010101",
      b"010100011100111010",
      b"010100011010011111",
      b"010100011000000101",
      b"010100010101101001",
      b"010100010011001110",
      b"010100010000110011",
      b"010100001110010111",
      b"010100001011111011",
      b"010100001001011111",
      b"010100000111000011",
      b"010100000100100110",
      b"010100000010001001",
      b"010011111111101100",
      b"010011111101001111",
      b"010011111010110010",
      b"010011111000010101",
      b"010011110101110111",
      b"010011110011011001",
      b"010011110000111011",
      b"010011101110011101",
      b"010011101011111111",
      b"010011101001100000",
      b"010011100111000001",
      b"010011100100100010",
      b"010011100010000011",
      b"010011011111100100",
      b"010011011101000100",
      b"010011011010100100",
      b"010011011000000100",
      b"010011010101100100",
      b"010011010011000100",
      b"010011010000100100",
      b"010011001110000011",
      b"010011001011100010",
      b"010011001001000001",
      b"010011000110100000",
      b"010011000011111110",
      b"010011000001011101",
      b"010010111110111011",
      b"010010111100011001",
      b"010010111001110111",
      b"010010110111010101",
      b"010010110100110010",
      b"010010110010001111",
      b"010010101111101101",
      b"010010101101001010",
      b"010010101010100110",
      b"010010101000000011",
      b"010010100101011111",
      b"010010100010111100",
      b"010010100000011000",
      b"010010011101110100",
      b"010010011011001111",
      b"010010011000101011",
      b"010010010110000110",
      b"010010010011100001",
      b"010010010000111100",
      b"010010001110010111",
      b"010010001011110010",
      b"010010001001001100",
      b"010010000110100111",
      b"010010000100000001",
      b"010010000001011011",
      b"010001111110110100",
      b"010001111100001110",
      b"010001111001100111",
      b"010001110111000001",
      b"010001110100011010",
      b"010001110001110011",
      b"010001101111001011",
      b"010001101100100100",
      b"010001101001111100",
      b"010001100111010101",
      b"010001100100101101",
      b"010001100010000101",
      b"010001011111011100",
      b"010001011100110100",
      b"010001011010001011",
      b"010001010111100010",
      b"010001010100111001",
      b"010001010010010000",
      b"010001001111100111",
      b"010001001100111110",
      b"010001001010010100",
      b"010001000111101010",
      b"010001000101000000",
      b"010001000010010110",
      b"010000111111101100",
      b"010000111101000001",
      b"010000111010010111",
      b"010000110111101100",
      b"010000110101000001",
      b"010000110010010110",
      b"010000101111101011",
      b"010000101100111111",
      b"010000101010010100",
      b"010000100111101000",
      b"010000100100111100",
      b"010000100010010000",
      b"010000011111100100",
      b"010000011100110111",
      b"010000011010001011",
      b"010000010111011110",
      b"010000010100110001",
      b"010000010010000100",
      b"010000001111010111",
      b"010000001100101010",
      b"010000001001111100",
      b"010000000111001111",
      b"010000000100100001",
      b"010000000001110011",
      b"001111111111000101",
      b"001111111100010111",
      b"001111111001101000",
      b"001111110110111010",
      b"001111110100001011",
      b"001111110001011100",
      b"001111101110101101",
      b"001111101011111110",
      b"001111101001001111",
      b"001111100110011111",
      b"001111100011110000",
      b"001111100001000000",
      b"001111011110010000",
      b"001111011011100000",
      b"001111011000110000",
      b"001111010101111111",
      b"001111010011001111",
      b"001111010000011110",
      b"001111001101101101",
      b"001111001010111100",
      b"001111001000001011",
      b"001111000101011010",
      b"001111000010101001",
      b"001110111111110111",
      b"001110111101000101",
      b"001110111010010100",
      b"001110110111100010",
      b"001110110100101111",
      b"001110110001111101",
      b"001110101111001011",
      b"001110101100011000",
      b"001110101001100110",
      b"001110100110110011",
      b"001110100100000000",
      b"001110100001001101",
      b"001110011110011001",
      b"001110011011100110",
      b"001110011000110011",
      b"001110010101111111",
      b"001110010011001011",
      b"001110010000010111",
      b"001110001101100011",
      b"001110001010101111",
      b"001110000111111010",
      b"001110000101000110",
      b"001110000010010001",
      b"001101111111011101",
      b"001101111100101000",
      b"001101111001110011",
      b"001101110110111110",
      b"001101110100001000",
      b"001101110001010011",
      b"001101101110011101",
      b"001101101011101000",
      b"001101101000110010",
      b"001101100101111100",
      b"001101100011000110",
      b"001101100000001111",
      b"001101011101011001",
      b"001101011010100011",
      b"001101010111101100",
      b"001101010100110101",
      b"001101010001111110",
      b"001101001111000111",
      b"001101001100010000",
      b"001101001001011001",
      b"001101000110100010",
      b"001101000011101010",
      b"001101000000110011",
      b"001100111101111011",
      b"001100111011000011",
      b"001100111000001011",
      b"001100110101010011",
      b"001100110010011011",
      b"001100101111100010",
      b"001100101100101010",
      b"001100101001110001",
      b"001100100110111000",
      b"001100100100000000",
      b"001100100001000111",
      b"001100011110001101",
      b"001100011011010100",
      b"001100011000011011",
      b"001100010101100001",
      b"001100010010101000",
      b"001100001111101110",
      b"001100001100110100",
      b"001100001001111010",
      b"001100000111000000",
      b"001100000100000110",
      b"001100000001001100",
      b"001011111110010010",
      b"001011111011010111",
      b"001011111000011100",
      b"001011110101100010",
      b"001011110010100111",
      b"001011101111101100",
      b"001011101100110001",
      b"001011101001110110",
      b"001011100110111010",
      b"001011100011111111",
      b"001011100001000011",
      b"001011011110001000",
      b"001011011011001100",
      b"001011011000010000",
      b"001011010101010100",
      b"001011010010011000",
      b"001011001111011100",
      b"001011001100011111",
      b"001011001001100011",
      b"001011000110100111",
      b"001011000011101010",
      b"001011000000101101",
      b"001010111101110000",
      b"001010111010110011",
      b"001010110111110110",
      b"001010110100111001",
      b"001010110001111100",
      b"001010101110111111",
      b"001010101100000001",
      b"001010101001000100",
      b"001010100110000110",
      b"001010100011001000",
      b"001010100000001010",
      b"001010011101001100",
      b"001010011010001110",
      b"001010010111010000",
      b"001010010100010010",
      b"001010010001010011",
      b"001010001110010101",
      b"001010001011010110",
      b"001010001000011000",
      b"001010000101011001",
      b"001010000010011010",
      b"001001111111011011",
      b"001001111100011100",
      b"001001111001011101",
      b"001001110110011110",
      b"001001110011011110",
      b"001001110000011111",
      b"001001101101011111",
      b"001001101010100000",
      b"001001100111100000",
      b"001001100100100000",
      b"001001100001100000",
      b"001001011110100000",
      b"001001011011100000",
      b"001001011000100000",
      b"001001010101100000",
      b"001001010010011111",
      b"001001001111011111",
      b"001001001100011110",
      b"001001001001011110",
      b"001001000110011101",
      b"001001000011011100",
      b"001001000000011011",
      b"001000111101011010",
      b"001000111010011001",
      b"001000110111011000",
      b"001000110100010111",
      b"001000110001010110",
      b"001000101110010100",
      b"001000101011010011",
      b"001000101000010001",
      b"001000100101010000",
      b"001000100010001110",
      b"001000011111001100",
      b"001000011100001010",
      b"001000011001001000",
      b"001000010110000110",
      b"001000010011000100",
      b"001000010000000010",
      b"001000001100111111",
      b"001000001001111101",
      b"001000000110111011",
      b"001000000011111000",
      b"001000000000110101",
      b"000111111101110011",
      b"000111111010110000",
      b"000111110111101101",
      b"000111110100101010",
      b"000111110001100111",
      b"000111101110100100",
      b"000111101011100001",
      b"000111101000011110",
      b"000111100101011010",
      b"000111100010010111",
      b"000111011111010100",
      b"000111011100010000",
      b"000111011001001101",
      b"000111010110001001",
      b"000111010011000101",
      b"000111010000000001",
      b"000111001100111101",
      b"000111001001111010",
      b"000111000110110110",
      b"000111000011110001",
      b"000111000000101101",
      b"000110111101101001",
      b"000110111010100101",
      b"000110110111100000",
      b"000110110100011100",
      b"000110110001011000",
      b"000110101110010011",
      b"000110101011001110",
      b"000110101000001010",
      b"000110100101000101",
      b"000110100010000000",
      b"000110011110111011",
      b"000110011011110110",
      b"000110011000110010",
      b"000110010101101100",
      b"000110010010100111",
      b"000110001111100010",
      b"000110001100011101",
      b"000110001001011000",
      b"000110000110010010",
      b"000110000011001101",
      b"000110000000000111",
      b"000101111101000010",
      b"000101111001111100",
      b"000101110110110111",
      b"000101110011110001",
      b"000101110000101011",
      b"000101101101100101",
      b"000101101010100000",
      b"000101100111011010",
      b"000101100100010100",
      b"000101100001001110",
      b"000101011110001000",
      b"000101011011000010",
      b"000101010111111011",
      b"000101010100110101",
      b"000101010001101111",
      b"000101001110101000",
      b"000101001011100010",
      b"000101001000011100",
      b"000101000101010101",
      b"000101000010001111",
      b"000100111111001000",
      b"000100111100000001",
      b"000100111000111011",
      b"000100110101110100",
      b"000100110010101101",
      b"000100101111100110",
      b"000100101100100000",
      b"000100101001011001",
      b"000100100110010010",
      b"000100100011001011",
      b"000100100000000100",
      b"000100011100111101",
      b"000100011001110110",
      b"000100010110101110",
      b"000100010011100111",
      b"000100010000100000",
      b"000100001101011001",
      b"000100001010010001",
      b"000100000111001010",
      b"000100000100000010",
      b"000100000000111011",
      b"000011111101110100",
      b"000011111010101100",
      b"000011110111100100",
      b"000011110100011101",
      b"000011110001010101",
      b"000011101110001101",
      b"000011101011000110",
      b"000011100111111110",
      b"000011100100110110",
      b"000011100001101110",
      b"000011011110100111",
      b"000011011011011111",
      b"000011011000010111",
      b"000011010101001111",
      b"000011010010000111",
      b"000011001110111111",
      b"000011001011110111",
      b"000011001000101111",
      b"000011000101100111",
      b"000011000010011110",
      b"000010111111010110",
      b"000010111100001110",
      b"000010111001000110",
      b"000010110101111110",
      b"000010110010110101",
      b"000010101111101101",
      b"000010101100100101",
      b"000010101001011100",
      b"000010100110010100",
      b"000010100011001100",
      b"000010100000000011",
      b"000010011100111011",
      b"000010011001110010",
      b"000010010110101010",
      b"000010010011100001",
      b"000010010000011001",
      b"000010001101010000",
      b"000010001010000111",
      b"000010000110111111",
      b"000010000011110110",
      b"000010000000101110",
      b"000001111101100101",
      b"000001111010011100",
      b"000001110111010011",
      b"000001110100001011",
      b"000001110001000010",
      b"000001101101111001",
      b"000001101010110000",
      b"000001100111101000",
      b"000001100100011111",
      b"000001100001010110",
      b"000001011110001101",
      b"000001011011000100",
      b"000001010111111011",
      b"000001010100110011",
      b"000001010001101010",
      b"000001001110100001",
      b"000001001011011000",
      b"000001001000001111",
      b"000001000101000110",
      b"000001000001111101",
      b"000000111110110100",
      b"000000111011101011",
      b"000000111000100010",
      b"000000110101011001",
      b"000000110010010000",
      b"000000101111000111",
      b"000000101011111110",
      b"000000101000110101",
      b"000000100101101100",
      b"000000100010100011",
      b"000000011111011010",
      b"000000011100010001",
      b"000000011001001000",
      b"000000010101111111",
      b"000000010010110110",
      b"000000001111101101",
      b"000000001100100100",
      b"000000001001011011",
      b"000000000110010010",
      b"000000000011001001",
      b"111111111111111111",
      b"111111111100110110",
      b"111111111001101101",
      b"111111110110100100",
      b"111111110011011011",
      b"111111110000010010",
      b"111111101101001001",
      b"111111101010000000",
      b"111111100110110111",
      b"111111100011101110",
      b"111111100000100100",
      b"111111011101011011",
      b"111111011010010010",
      b"111111010111001001",
      b"111111010100000000",
      b"111111010000110111",
      b"111111001101101110",
      b"111111001010100101",
      b"111111000111011100",
      b"111111000100010011",
      b"111111000001001010",
      b"111110111110000001",
      b"111110111010111001",
      b"111110110111110000",
      b"111110110100100111",
      b"111110110001011110",
      b"111110101110010101",
      b"111110101011001100",
      b"111110101000000011",
      b"111110100100111010",
      b"111110100001110001",
      b"111110011110101000",
      b"111110011011100000",
      b"111110011000010111",
      b"111110010101001110",
      b"111110010010000101",
      b"111110001110111101",
      b"111110001011110100",
      b"111110001000101011",
      b"111110000101100010",
      b"111110000010011010",
      b"111101111111010001",
      b"111101111100001000",
      b"111101111001000000",
      b"111101110101110111",
      b"111101110010101110",
      b"111101101111100110",
      b"111101101100011101",
      b"111101101001010101",
      b"111101100110001100",
      b"111101100011000100",
      b"111101011111111011",
      b"111101011100110011",
      b"111101011001101011",
      b"111101010110100010",
      b"111101010011011010",
      b"111101010000010001",
      b"111101001101001001",
      b"111101001010000001",
      b"111101000110111001",
      b"111101000011110000",
      b"111101000000101000",
      b"111100111101100000",
      b"111100111010011000",
      b"111100110111010000",
      b"111100110100001000",
      b"111100110001000000",
      b"111100101101111000",
      b"111100101010110000",
      b"111100100111101000",
      b"111100100100100000",
      b"111100100001011000",
      b"111100011110010000",
      b"111100011011001000",
      b"111100011000000000",
      b"111100010100111001",
      b"111100010001110001",
      b"111100001110101001",
      b"111100001011100010",
      b"111100001000011010",
      b"111100000101010011",
      b"111100000010001011",
      b"111011111111000011",
      b"111011111011111100",
      b"111011111000110101",
      b"111011110101101101",
      b"111011110010100110",
      b"111011101111011111",
      b"111011101100010111",
      b"111011101001010000",
      b"111011100110001001",
      b"111011100011000010",
      b"111011011111111011",
      b"111011011100110100",
      b"111011011001101101",
      b"111011010110100110",
      b"111011010011011111",
      b"111011010000011000",
      b"111011001101010001",
      b"111011001010001010",
      b"111011000111000100",
      b"111011000011111101",
      b"111011000000110110",
      b"111010111101110000",
      b"111010111010101001",
      b"111010110111100011",
      b"111010110100011100",
      b"111010110001010110",
      b"111010101110010000",
      b"111010101011001001",
      b"111010101000000011",
      b"111010100100111101",
      b"111010100001110111",
      b"111010011110110001",
      b"111010011011101011",
      b"111010011000100101",
      b"111010010101011111",
      b"111010010010011001",
      b"111010001111010011",
      b"111010001100001101",
      b"111010001001001000",
      b"111010000110000010",
      b"111010000010111101",
      b"111001111111110111",
      b"111001111100110010",
      b"111001111001101100",
      b"111001110110100111",
      b"111001110011100010",
      b"111001110000011100",
      b"111001101101010111",
      b"111001101010010010",
      b"111001100111001101",
      b"111001100100001000",
      b"111001100001000011",
      b"111001011101111110",
      b"111001011010111001",
      b"111001010111110101",
      b"111001010100110000",
      b"111001010001101011",
      b"111001001110100111",
      b"111001001011100010",
      b"111001001000011110",
      b"111001000101011010",
      b"111001000010010101",
      b"111000111111010001",
      b"111000111100001101",
      b"111000111001001001",
      b"111000110110000101",
      b"111000110011000001",
      b"111000101111111101",
      b"111000101100111001",
      b"111000101001110110",
      b"111000100110110010",
      b"111000100011101110",
      b"111000100000101011",
      b"111000011101100111",
      b"111000011010100100",
      b"111000010111100001",
      b"111000010100011110",
      b"111000010001011010",
      b"111000001110010111",
      b"111000001011010100",
      b"111000001000010001",
      b"111000000101001111",
      b"111000000010001100",
      b"110111111111001001",
      b"110111111100000111",
      b"110111111001000100",
      b"110111110110000010",
      b"110111110010111111",
      b"110111101111111101",
      b"110111101100111011",
      b"110111101001111000",
      b"110111100110110110",
      b"110111100011110100",
      b"110111100000110011",
      b"110111011101110001",
      b"110111011010101111",
      b"110111010111101101",
      b"110111010100101100",
      b"110111010001101010",
      b"110111001110101001",
      b"110111001011101000",
      b"110111001000100110",
      b"110111000101100101",
      b"110111000010100100",
      b"110110111111100011",
      b"110110111100100010",
      b"110110111001100001",
      b"110110110110100001",
      b"110110110011100000",
      b"110110110000100000",
      b"110110101101011111",
      b"110110101010011111",
      b"110110100111011110",
      b"110110100100011110",
      b"110110100001011110",
      b"110110011110011110",
      b"110110011011011110",
      b"110110011000011110",
      b"110110010101011111",
      b"110110010010011111",
      b"110110001111100000",
      b"110110001100100000",
      b"110110001001100001",
      b"110110000110100010",
      b"110110000011100010",
      b"110110000000100011",
      b"110101111101100100",
      b"110101111010100110",
      b"110101110111100111",
      b"110101110100101000",
      b"110101110001101010",
      b"110101101110101011",
      b"110101101011101101",
      b"110101101000101110",
      b"110101100101110000",
      b"110101100010110010",
      b"110101011111110100",
      b"110101011100110110",
      b"110101011001111001",
      b"110101010110111011",
      b"110101010011111101",
      b"110101010001000000",
      b"110101001110000011",
      b"110101001011000101",
      b"110101001000001000",
      b"110101000101001011",
      b"110101000010001110",
      b"110100111111010001",
      b"110100111100010101",
      b"110100111001011000",
      b"110100110110011011",
      b"110100110011011111",
      b"110100110000100011",
      b"110100101101100111",
      b"110100101010101010",
      b"110100100111101110",
      b"110100100100110011",
      b"110100100001110111",
      b"110100011110111011",
      b"110100011100000000",
      b"110100011001000100",
      b"110100010110001001",
      b"110100010011001110",
      b"110100010000010011",
      b"110100001101011000",
      b"110100001010011101",
      b"110100000111100010",
      b"110100000100100111",
      b"110100000001101101",
      b"110011111110110011",
      b"110011111011111000",
      b"110011111000111110",
      b"110011110110000100",
      b"110011110011001010",
      b"110011110000010000",
      b"110011101101010111",
      b"110011101010011101",
      b"110011100111100100",
      b"110011100100101010",
      b"110011100001110001",
      b"110011011110111000",
      b"110011011011111111",
      b"110011011001000110",
      b"110011010110001101",
      b"110011010011010101",
      b"110011010000011100",
      b"110011001101100100",
      b"110011001010101100",
      b"110011000111110011",
      b"110011000100111011",
      b"110011000010000100",
      b"110010111111001100",
      b"110010111100010100",
      b"110010111001011101",
      b"110010110110100101",
      b"110010110011101110",
      b"110010110000110111",
      b"110010101110000000",
      b"110010101011001001",
      b"110010101000010010",
      b"110010100101011100",
      b"110010100010100101",
      b"110010011111101111",
      b"110010011100111001",
      b"110010011010000011",
      b"110010010111001101",
      b"110010010100010111",
      b"110010010001100001",
      b"110010001110101100",
      b"110010001011110110",
      b"110010001001000001",
      b"110010000110001100",
      b"110010000011010111",
      b"110010000000100010",
      b"110001111101101101",
      b"110001111010111001",
      b"110001111000000100",
      b"110001110101010000",
      b"110001110010011011",
      b"110001101111100111",
      b"110001101100110011",
      b"110001101010000000",
      b"110001100111001100",
      b"110001100100011000",
      b"110001100001100101",
      b"110001011110110010",
      b"110001011011111111",
      b"110001011001001100",
      b"110001010110011001",
      b"110001010011100110",
      b"110001010000110100",
      b"110001001110000001",
      b"110001001011001111",
      b"110001001000011101",
      b"110001000101101011",
      b"110001000010111001",
      b"110001000000000111",
      b"110000111101010110",
      b"110000111010100101",
      b"110000110111110011",
      b"110000110101000010",
      b"110000110010010001",
      b"110000101111100000",
      b"110000101100110000",
      b"110000101001111111",
      b"110000100111001111",
      b"110000100100011111",
      b"110000100001101111",
      b"110000011110111111",
      b"110000011100001111",
      b"110000011001011111",
      b"110000010110110000",
      b"110000010100000001",
      b"110000010001010001",
      b"110000001110100010",
      b"110000001011110011",
      b"110000001001000101",
      b"110000000110010110",
      b"110000000011101000",
      b"110000000000111010",
      b"101111111110001011",
      b"101111111011011110",
      b"101111111000110000",
      b"101111110110000010",
      b"101111110011010101",
      b"101111110000100111",
      b"101111101101111010",
      b"101111101011001101",
      b"101111101000100000",
      b"101111100101110100",
      b"101111100011000111",
      b"101111100000011011",
      b"101111011101101110",
      b"101111011011000010",
      b"101111011000010110",
      b"101111010101101011",
      b"101111010010111111",
      b"101111010000010100",
      b"101111001101101001",
      b"101111001010111101",
      b"101111001000010010",
      b"101111000101101000",
      b"101111000010111101",
      b"101111000000010011",
      b"101110111101101000",
      b"101110111010111110",
      b"101110111000010100",
      b"101110110101101011",
      b"101110110011000001",
      b"101110110000010111",
      b"101110101101101110",
      b"101110101011000101",
      b"101110101000011100",
      b"101110100101110011",
      b"101110100011001011",
      b"101110100000100010",
      b"101110011101111010",
      b"101110011011010010",
      b"101110011000101010",
      b"101110010110000010",
      b"101110010011011011",
      b"101110010000110011",
      b"101110001110001100",
      b"101110001011100101",
      b"101110001000111110",
      b"101110000110010111",
      b"101110000011110001",
      b"101110000001001010",
      b"101101111110100100",
      b"101101111011111110",
      b"101101111001011000",
      b"101101110110110010",
      b"101101110100001101",
      b"101101110001100111",
      b"101101101111000010",
      b"101101101100011101",
      b"101101101001111000",
      b"101101100111010100",
      b"101101100100101111",
      b"101101100010001011",
      b"101101011111100111",
      b"101101011101000011",
      b"101101011010011111",
      b"101101010111111100",
      b"101101010101011000",
      b"101101010010110101",
      b"101101010000010010",
      b"101101001101101111",
      b"101101001011001100",
      b"101101001000101010",
      b"101101000110001000",
      b"101101000011100101",
      b"101101000001000011",
      b"101100111110100010",
      b"101100111100000000",
      b"101100111001011111",
      b"101100110110111101",
      b"101100110100011100",
      b"101100110001111100",
      b"101100101111011011",
      b"101100101100111010",
      b"101100101010011010",
      b"101100100111111010",
      b"101100100101011010",
      b"101100100010111010",
      b"101100100000011011",
      b"101100011101111100",
      b"101100011011011100",
      b"101100011000111101",
      b"101100010110011111",
      b"101100010100000000",
      b"101100010001100010",
      b"101100001111000011",
      b"101100001100100101",
      b"101100001010000111",
      b"101100000111101010",
      b"101100000101001100",
      b"101100000010101111",
      b"101100000000010010",
      b"101011111101110101",
      b"101011111011011000",
      b"101011111000111100",
      b"101011110110100000",
      b"101011110100000100",
      b"101011110001101000",
      b"101011101111001100",
      b"101011101100110000",
      b"101011101010010101",
      b"101011100111111010",
      b"101011100101011111",
      b"101011100011000100",
      b"101011100000101010",
      b"101011011110001111",
      b"101011011011110101",
      b"101011011001011011",
      b"101011010111000010",
      b"101011010100101000",
      b"101011010010001111",
      b"101011001111110110",
      b"101011001101011101",
      b"101011001011000100",
      b"101011001000101011",
      b"101011000110010011",
      b"101011000011111011",
      b"101011000001100011",
      b"101010111111001011",
      b"101010111100110100",
      b"101010111010011100",
      b"101010111000000101",
      b"101010110101101110",
      b"101010110011011000",
      b"101010110001000001",
      b"101010101110101011",
      b"101010101100010101",
      b"101010101001111111",
      b"101010100111101001",
      b"101010100101010011",
      b"101010100010111110",
      b"101010100000101001",
      b"101010011110010100",
      b"101010011100000000",
      b"101010011001101011",
      b"101010010111010111",
      b"101010010101000011",
      b"101010010010101111",
      b"101010010000011011",
      b"101010001110001000",
      b"101010001011110101",
      b"101010001001100010",
      b"101010000111001111",
      b"101010000100111100",
      b"101010000010101010",
      b"101010000000011000",
      b"101001111110000110",
      b"101001111011110100",
      b"101001111001100011",
      b"101001110111010001",
      b"101001110101000000",
      b"101001110010101111",
      b"101001110000011111",
      b"101001101110001110",
      b"101001101011111110",
      b"101001101001101110",
      b"101001100111011110",
      b"101001100101001111",
      b"101001100010111111",
      b"101001100000110000",
      b"101001011110100001",
      b"101001011100010011",
      b"101001011010000100",
      b"101001010111110110",
      b"101001010101101000",
      b"101001010011011010",
      b"101001010001001100",
      b"101001001110111111",
      b"101001001100110010",
      b"101001001010100101",
      b"101001001000011000",
      b"101001000110001011",
      b"101001000011111111",
      b"101001000001110011",
      b"101000111111100111",
      b"101000111101011100",
      b"101000111011010000",
      b"101000111001000101",
      b"101000110110111010",
      b"101000110100101111",
      b"101000110010100101",
      b"101000110000011010",
      b"101000101110010000",
      b"101000101100000110",
      b"101000101001111101",
      b"101000100111110011",
      b"101000100101101010",
      b"101000100011100001",
      b"101000100001011001",
      b"101000011111010000",
      b"101000011101001000",
      b"101000011011000000",
      b"101000011000111000",
      b"101000010110110000",
      b"101000010100101001",
      b"101000010010100010",
      b"101000010000011011",
      b"101000001110010100",
      b"101000001100001110",
      b"101000001010001000",
      b"101000001000000010",
      b"101000000101111100",
      b"101000000011110110",
      b"101000000001110001",
      b"100111111111101100",
      b"100111111101100111",
      b"100111111011100010",
      b"100111111001011110",
      b"100111110111011010",
      b"100111110101010110",
      b"100111110011010010",
      b"100111110001001111",
      b"100111101111001100",
      b"100111101101001001",
      b"100111101011000110",
      b"100111101001000011",
      b"100111100111000001",
      b"100111100100111111",
      b"100111100010111101",
      b"100111100000111100",
      b"100111011110111010",
      b"100111011100111001",
      b"100111011010111000",
      b"100111011000111000",
      b"100111010110110111",
      b"100111010100110111",
      b"100111010010110111",
      b"100111010000111000",
      b"100111001110111000",
      b"100111001100111001",
      b"100111001010111010",
      b"100111001000111011",
      b"100111000110111101",
      b"100111000100111111",
      b"100111000011000001",
      b"100111000001000011",
      b"100110111111000101",
      b"100110111101001000",
      b"100110111011001011",
      b"100110111001001110",
      b"100110110111010010",
      b"100110110101010110",
      b"100110110011011001",
      b"100110110001011110",
      b"100110101111100010",
      b"100110101101100111",
      b"100110101011101100",
      b"100110101001110001",
      b"100110100111110110",
      b"100110100101111100",
      b"100110100100000010",
      b"100110100010001000",
      b"100110100000001110",
      b"100110011110010101",
      b"100110011100011100",
      b"100110011010100011",
      b"100110011000101010",
      b"100110010110110010",
      b"100110010100111010",
      b"100110010011000010",
      b"100110010001001010",
      b"100110001111010011",
      b"100110001101011100",
      b"100110001011100101",
      b"100110001001101110",
      b"100110000111111000",
      b"100110000110000001",
      b"100110000100001100",
      b"100110000010010110",
      b"100110000000100001",
      b"100101111110101011",
      b"100101111100110110",
      b"100101111011000010",
      b"100101111001001101",
      b"100101110111011001",
      b"100101110101100101",
      b"100101110011110010",
      b"100101110001111110",
      b"100101110000001011",
      b"100101101110011000",
      b"100101101100100110",
      b"100101101010110011",
      b"100101101001000001",
      b"100101100111001111",
      b"100101100101011110",
      b"100101100011101100",
      b"100101100001111011",
      b"100101100000001010",
      b"100101011110011010",
      b"100101011100101001",
      b"100101011010111001",
      b"100101011001001001",
      b"100101010111011010",
      b"100101010101101011",
      b"100101010011111011",
      b"100101010010001101",
      b"100101010000011110",
      b"100101001110110000",
      b"100101001101000010",
      b"100101001011010100",
      b"100101001001100111",
      b"100101000111111001",
      b"100101000110001100",
      b"100101000100100000",
      b"100101000010110011",
      b"100101000001000111",
      b"100100111111011011",
      b"100100111101101111",
      b"100100111100000100",
      b"100100111010011001",
      b"100100111000101110",
      b"100100110111000011",
      b"100100110101011001",
      b"100100110011101110",
      b"100100110010000101",
      b"100100110000011011",
      b"100100101110110010",
      b"100100101101001001",
      b"100100101011100000",
      b"100100101001110111",
      b"100100101000001111",
      b"100100100110100111",
      b"100100100100111111",
      b"100100100011011000",
      b"100100100001110000",
      b"100100100000001001",
      b"100100011110100011",
      b"100100011100111100",
      b"100100011011010110",
      b"100100011001110000",
      b"100100011000001011",
      b"100100010110100101",
      b"100100010101000000",
      b"100100010011011011",
      b"100100010001110111",
      b"100100010000010010",
      b"100100001110101110",
      b"100100001101001011",
      b"100100001011100111",
      b"100100001010000100",
      b"100100001000100001",
      b"100100000110111110",
      b"100100000101011100",
      b"100100000011111010",
      b"100100000010011000",
      b"100100000000110110",
      b"100011111111010101",
      b"100011111101110100",
      b"100011111100010011",
      b"100011111010110010",
      b"100011111001010010",
      b"100011110111110010",
      b"100011110110010010",
      b"100011110100110011",
      b"100011110011010100",
      b"100011110001110101",
      b"100011110000010110",
      b"100011101110111000",
      b"100011101101011010",
      b"100011101011111100",
      b"100011101010011110",
      b"100011101001000001",
      b"100011100111100100",
      b"100011100110000111",
      b"100011100100101011",
      b"100011100011001111",
      b"100011100001110011",
      b"100011100000010111",
      b"100011011110111100",
      b"100011011101100001",
      b"100011011100000110",
      b"100011011010101011",
      b"100011011001010001",
      b"100011010111110111",
      b"100011010110011101",
      b"100011010101000100",
      b"100011010011101011",
      b"100011010010010010",
      b"100011010000111001",
      b"100011001111100001",
      b"100011001110001001",
      b"100011001100110001",
      b"100011001011011010",
      b"100011001010000010",
      b"100011001000101011",
      b"100011000111010101",
      b"100011000101111110",
      b"100011000100101000",
      b"100011000011010010",
      b"100011000001111101",
      b"100011000000101000",
      b"100010111111010011",
      b"100010111101111110",
      b"100010111100101001",
      b"100010111011010101",
      b"100010111010000001",
      b"100010111000101110",
      b"100010110111011011",
      b"100010110110001000",
      b"100010110100110101",
      b"100010110011100010",
      b"100010110010010000",
      b"100010110000111110",
      b"100010101111101101",
      b"100010101110011011",
      b"100010101101001010",
      b"100010101011111001",
      b"100010101010101001",
      b"100010101001011001",
      b"100010101000001001",
      b"100010100110111001",
      b"100010100101101010",
      b"100010100100011011",
      b"100010100011001100",
      b"100010100001111101",
      b"100010100000101111",
      b"100010011111100001",
      b"100010011110010100",
      b"100010011101000110",
      b"100010011011111001",
      b"100010011010101100",
      b"100010011001100000",
      b"100010011000010100",
      b"100010010111001000",
      b"100010010101111100",
      b"100010010100110001",
      b"100010010011100110",
      b"100010010010011011",
      b"100010010001010000",
      b"100010010000000110",
      b"100010001110111100",
      b"100010001101110010",
      b"100010001100101001",
      b"100010001011100000",
      b"100010001010010111",
      b"100010001001001111",
      b"100010001000000110",
      b"100010000110111111",
      b"100010000101110111",
      b"100010000100110000",
      b"100010000011101000",
      b"100010000010100010",
      b"100010000001011011",
      b"100010000000010101",
      b"100001111111001111",
      b"100001111110001010",
      b"100001111101000100",
      b"100001111011111111",
      b"100001111010111010",
      b"100001111001110110",
      b"100001111000110010",
      b"100001110111101110",
      b"100001110110101010",
      b"100001110101100111",
      b"100001110100100100",
      b"100001110011100001",
      b"100001110010011111",
      b"100001110001011101",
      b"100001110000011011",
      b"100001101111011001",
      b"100001101110011000",
      b"100001101101010111",
      b"100001101100010110",
      b"100001101011010110",
      b"100001101010010110",
      b"100001101001010110",
      b"100001101000010111",
      b"100001100111010111",
      b"100001100110011000",
      b"100001100101011010",
      b"100001100100011100",
      b"100001100011011101",
      b"100001100010100000",
      b"100001100001100010",
      b"100001100000100101",
      b"100001011111101000",
      b"100001011110101100",
      b"100001011101101111",
      b"100001011100110011",
      b"100001011011111000",
      b"100001011010111100",
      b"100001011010000001",
      b"100001011001000110",
      b"100001011000001100",
      b"100001010111010010",
      b"100001010110011000",
      b"100001010101011110",
      b"100001010100100101",
      b"100001010011101100",
      b"100001010010110011",
      b"100001010001111011",
      b"100001010001000010",
      b"100001010000001011",
      b"100001001111010011",
      b"100001001110011100",
      b"100001001101100101",
      b"100001001100101110",
      b"100001001011111000",
      b"100001001011000010",
      b"100001001010001100",
      b"100001001001010110",
      b"100001001000100001",
      b"100001000111101100",
      b"100001000110111000",
      b"100001000110000011",
      b"100001000101010000",
      b"100001000100011100",
      b"100001000011101000",
      b"100001000010110101",
      b"100001000010000011",
      b"100001000001010000",
      b"100001000000011110",
      b"100000111111101100",
      b"100000111110111010",
      b"100000111110001001",
      b"100000111101011000",
      b"100000111100100111",
      b"100000111011110111",
      b"100000111011000111",
      b"100000111010010111",
      b"100000111001101000",
      b"100000111000111000",
      b"100000111000001001",
      b"100000110111011011",
      b"100000110110101100",
      b"100000110101111110",
      b"100000110101010001",
      b"100000110100100011",
      b"100000110011110110",
      b"100000110011001001",
      b"100000110010011101",
      b"100000110001110001",
      b"100000110001000101",
      b"100000110000011001",
      b"100000101111101110",
      b"100000101111000011",
      b"100000101110011000",
      b"100000101101101110",
      b"100000101101000100",
      b"100000101100011010",
      b"100000101011110000",
      b"100000101011000111",
      b"100000101010011110",
      b"100000101001110110",
      b"100000101001001110",
      b"100000101000100110",
      b"100000100111111110",
      b"100000100111010110",
      b"100000100110101111",
      b"100000100110001001",
      b"100000100101100010",
      b"100000100100111100",
      b"100000100100010110",
      b"100000100011110001",
      b"100000100011001011",
      b"100000100010100110",
      b"100000100010000010",
      b"100000100001011101",
      b"100000100000111001",
      b"100000100000010110",
      b"100000011111110010",
      b"100000011111001111",
      b"100000011110101100",
      b"100000011110001010",
      b"100000011101100111",
      b"100000011101000110",
      b"100000011100100100",
      b"100000011100000011",
      b"100000011011100010",
      b"100000011011000001",
      b"100000011010100001",
      b"100000011010000000",
      b"100000011001100001",
      b"100000011001000001",
      b"100000011000100010",
      b"100000011000000011",
      b"100000010111100101",
      b"100000010111000110",
      b"100000010110101000",
      b"100000010110001011",
      b"100000010101101101",
      b"100000010101010000",
      b"100000010100110100",
      b"100000010100010111",
      b"100000010011111011",
      b"100000010011011111",
      b"100000010011000100",
      b"100000010010101000",
      b"100000010010001101",
      b"100000010001110011",
      b"100000010001011001",
      b"100000010000111111",
      b"100000010000100101",
      b"100000010000001100",
      b"100000001111110010",
      b"100000001111011010",
      b"100000001111000001",
      b"100000001110101001",
      b"100000001110010001",
      b"100000001101111010",
      b"100000001101100010",
      b"100000001101001100",
      b"100000001100110101",
      b"100000001100011111",
      b"100000001100001001",
      b"100000001011110011",
      b"100000001011011110",
      b"100000001011001000",
      b"100000001010110100",
      b"100000001010011111",
      b"100000001010001011",
      b"100000001001110111",
      b"100000001001100100",
      b"100000001001010000",
      b"100000001000111101",
      b"100000001000101011",
      b"100000001000011000",
      b"100000001000000110",
      b"100000000111110101",
      b"100000000111100011",
      b"100000000111010010",
      b"100000000111000001",
      b"100000000110110001",
      b"100000000110100001",
      b"100000000110010001",
      b"100000000110000001",
      b"100000000101110010",
      b"100000000101100011",
      b"100000000101010101",
      b"100000000101000110",
      b"100000000100111000",
      b"100000000100101010",
      b"100000000100011101",
      b"100000000100010000",
      b"100000000100000011",
      b"100000000011110111",
      b"100000000011101010",
      b"100000000011011111",
      b"100000000011010011",
      b"100000000011001000",
      b"100000000010111101",
      b"100000000010110010",
      b"100000000010101000",
      b"100000000010011110",
      b"100000000010010100",
      b"100000000010001011",
      b"100000000010000010",
      b"100000000001111001",
      b"100000000001110000",
      b"100000000001101000",
      b"100000000001100000",
      b"100000000001011001",
      b"100000000001010010",
      b"100000000001001011",
      b"100000000001000100",
      b"100000000000111110",
      b"100000000000111000",
      b"100000000000110010",
      b"100000000000101101",
      b"100000000000100111",
      b"100000000000100011",
      b"100000000000011110",
      b"100000000000011010",
      b"100000000000010110",
      b"100000000000010011",
      b"100000000000001111",
      b"100000000000001100",
      b"100000000000001010",
      b"100000000000001000",
      b"100000000000000110",
      b"100000000000000100",
      b"100000000000000010",
      b"100000000000000001",
      b"100000000000000001",
    b"100000000000000000"
	 ); 
 
   constant wIm: wRowTyp := 
  ( 
      b"000000000000000000",
      b"111111111100110110",
      b"111111111001101101",
      b"111111110110100100",
      b"111111110011011011",
      b"111111110000010010",
      b"111111101101001001",
      b"111111101010000000",
      b"111111100110110111",
      b"111111100011101110",
      b"111111100000100100",
      b"111111011101011011",
      b"111111011010010010",
      b"111111010111001001",
      b"111111010100000000",
      b"111111010000110111",
      b"111111001101101110",
      b"111111001010100101",
      b"111111000111011100",
      b"111111000100010011",
      b"111111000001001010",
      b"111110111110000001",
      b"111110111010111001",
      b"111110110111110000",
      b"111110110100100111",
      b"111110110001011110",
      b"111110101110010101",
      b"111110101011001100",
      b"111110101000000011",
      b"111110100100111010",
      b"111110100001110001",
      b"111110011110101000",
      b"111110011011100000",
      b"111110011000010111",
      b"111110010101001110",
      b"111110010010000101",
      b"111110001110111101",
      b"111110001011110100",
      b"111110001000101011",
      b"111110000101100010",
      b"111110000010011010",
      b"111101111111010001",
      b"111101111100001000",
      b"111101111001000000",
      b"111101110101110111",
      b"111101110010101110",
      b"111101101111100110",
      b"111101101100011101",
      b"111101101001010101",
      b"111101100110001100",
      b"111101100011000100",
      b"111101011111111011",
      b"111101011100110011",
      b"111101011001101011",
      b"111101010110100010",
      b"111101010011011010",
      b"111101010000010001",
      b"111101001101001001",
      b"111101001010000001",
      b"111101000110111001",
      b"111101000011110000",
      b"111101000000101000",
      b"111100111101100000",
      b"111100111010011000",
      b"111100110111010000",
      b"111100110100001000",
      b"111100110001000000",
      b"111100101101111000",
      b"111100101010110000",
      b"111100100111101000",
      b"111100100100100000",
      b"111100100001011000",
      b"111100011110010000",
      b"111100011011001000",
      b"111100011000000000",
      b"111100010100111001",
      b"111100010001110001",
      b"111100001110101001",
      b"111100001011100010",
      b"111100001000011010",
      b"111100000101010011",
      b"111100000010001011",
      b"111011111111000011",
      b"111011111011111100",
      b"111011111000110101",
      b"111011110101101101",
      b"111011110010100110",
      b"111011101111011111",
      b"111011101100010111",
      b"111011101001010000",
      b"111011100110001001",
      b"111011100011000010",
      b"111011011111111011",
      b"111011011100110100",
      b"111011011001101101",
      b"111011010110100110",
      b"111011010011011111",
      b"111011010000011000",
      b"111011001101010001",
      b"111011001010001010",
      b"111011000111000100",
      b"111011000011111101",
      b"111011000000110110",
      b"111010111101110000",
      b"111010111010101001",
      b"111010110111100011",
      b"111010110100011100",
      b"111010110001010110",
      b"111010101110010000",
      b"111010101011001001",
      b"111010101000000011",
      b"111010100100111101",
      b"111010100001110111",
      b"111010011110110001",
      b"111010011011101011",
      b"111010011000100101",
      b"111010010101011111",
      b"111010010010011001",
      b"111010001111010011",
      b"111010001100001101",
      b"111010001001001000",
      b"111010000110000010",
      b"111010000010111101",
      b"111001111111110111",
      b"111001111100110010",
      b"111001111001101100",
      b"111001110110100111",
      b"111001110011100010",
      b"111001110000011100",
      b"111001101101010111",
      b"111001101010010010",
      b"111001100111001101",
      b"111001100100001000",
      b"111001100001000011",
      b"111001011101111110",
      b"111001011010111001",
      b"111001010111110101",
      b"111001010100110000",
      b"111001010001101011",
      b"111001001110100111",
      b"111001001011100010",
      b"111001001000011110",
      b"111001000101011010",
      b"111001000010010101",
      b"111000111111010001",
      b"111000111100001101",
      b"111000111001001001",
      b"111000110110000101",
      b"111000110011000001",
      b"111000101111111101",
      b"111000101100111001",
      b"111000101001110110",
      b"111000100110110010",
      b"111000100011101110",
      b"111000100000101011",
      b"111000011101100111",
      b"111000011010100100",
      b"111000010111100001",
      b"111000010100011110",
      b"111000010001011010",
      b"111000001110010111",
      b"111000001011010100",
      b"111000001000010001",
      b"111000000101001111",
      b"111000000010001100",
      b"110111111111001001",
      b"110111111100000111",
      b"110111111001000100",
      b"110111110110000010",
      b"110111110010111111",
      b"110111101111111101",
      b"110111101100111011",
      b"110111101001111000",
      b"110111100110110110",
      b"110111100011110100",
      b"110111100000110011",
      b"110111011101110001",
      b"110111011010101111",
      b"110111010111101101",
      b"110111010100101100",
      b"110111010001101010",
      b"110111001110101001",
      b"110111001011101000",
      b"110111001000100110",
      b"110111000101100101",
      b"110111000010100100",
      b"110110111111100011",
      b"110110111100100010",
      b"110110111001100001",
      b"110110110110100001",
      b"110110110011100000",
      b"110110110000100000",
      b"110110101101011111",
      b"110110101010011111",
      b"110110100111011110",
      b"110110100100011110",
      b"110110100001011110",
      b"110110011110011110",
      b"110110011011011110",
      b"110110011000011110",
      b"110110010101011111",
      b"110110010010011111",
      b"110110001111100000",
      b"110110001100100000",
      b"110110001001100001",
      b"110110000110100010",
      b"110110000011100010",
      b"110110000000100011",
      b"110101111101100100",
      b"110101111010100110",
      b"110101110111100111",
      b"110101110100101000",
      b"110101110001101010",
      b"110101101110101011",
      b"110101101011101101",
      b"110101101000101110",
      b"110101100101110000",
      b"110101100010110010",
      b"110101011111110100",
      b"110101011100110110",
      b"110101011001111001",
      b"110101010110111011",
      b"110101010011111101",
      b"110101010001000000",
      b"110101001110000011",
      b"110101001011000101",
      b"110101001000001000",
      b"110101000101001011",
      b"110101000010001110",
      b"110100111111010001",
      b"110100111100010101",
      b"110100111001011000",
      b"110100110110011011",
      b"110100110011011111",
      b"110100110000100011",
      b"110100101101100111",
      b"110100101010101010",
      b"110100100111101110",
      b"110100100100110011",
      b"110100100001110111",
      b"110100011110111011",
      b"110100011100000000",
      b"110100011001000100",
      b"110100010110001001",
      b"110100010011001110",
      b"110100010000010011",
      b"110100001101011000",
      b"110100001010011101",
      b"110100000111100010",
      b"110100000100100111",
      b"110100000001101101",
      b"110011111110110011",
      b"110011111011111000",
      b"110011111000111110",
      b"110011110110000100",
      b"110011110011001010",
      b"110011110000010000",
      b"110011101101010111",
      b"110011101010011101",
      b"110011100111100100",
      b"110011100100101010",
      b"110011100001110001",
      b"110011011110111000",
      b"110011011011111111",
      b"110011011001000110",
      b"110011010110001101",
      b"110011010011010101",
      b"110011010000011100",
      b"110011001101100100",
      b"110011001010101100",
      b"110011000111110011",
      b"110011000100111011",
      b"110011000010000100",
      b"110010111111001100",
      b"110010111100010100",
      b"110010111001011101",
      b"110010110110100101",
      b"110010110011101110",
      b"110010110000110111",
      b"110010101110000000",
      b"110010101011001001",
      b"110010101000010010",
      b"110010100101011100",
      b"110010100010100101",
      b"110010011111101111",
      b"110010011100111001",
      b"110010011010000011",
      b"110010010111001101",
      b"110010010100010111",
      b"110010010001100001",
      b"110010001110101100",
      b"110010001011110110",
      b"110010001001000001",
      b"110010000110001100",
      b"110010000011010111",
      b"110010000000100010",
      b"110001111101101101",
      b"110001111010111001",
      b"110001111000000100",
      b"110001110101010000",
      b"110001110010011011",
      b"110001101111100111",
      b"110001101100110011",
      b"110001101010000000",
      b"110001100111001100",
      b"110001100100011000",
      b"110001100001100101",
      b"110001011110110010",
      b"110001011011111111",
      b"110001011001001100",
      b"110001010110011001",
      b"110001010011100110",
      b"110001010000110100",
      b"110001001110000001",
      b"110001001011001111",
      b"110001001000011101",
      b"110001000101101011",
      b"110001000010111001",
      b"110001000000000111",
      b"110000111101010110",
      b"110000111010100101",
      b"110000110111110011",
      b"110000110101000010",
      b"110000110010010001",
      b"110000101111100000",
      b"110000101100110000",
      b"110000101001111111",
      b"110000100111001111",
      b"110000100100011111",
      b"110000100001101111",
      b"110000011110111111",
      b"110000011100001111",
      b"110000011001011111",
      b"110000010110110000",
      b"110000010100000001",
      b"110000010001010001",
      b"110000001110100010",
      b"110000001011110011",
      b"110000001001000101",
      b"110000000110010110",
      b"110000000011101000",
      b"110000000000111010",
      b"101111111110001011",
      b"101111111011011110",
      b"101111111000110000",
      b"101111110110000010",
      b"101111110011010101",
      b"101111110000100111",
      b"101111101101111010",
      b"101111101011001101",
      b"101111101000100000",
      b"101111100101110100",
      b"101111100011000111",
      b"101111100000011011",
      b"101111011101101110",
      b"101111011011000010",
      b"101111011000010110",
      b"101111010101101011",
      b"101111010010111111",
      b"101111010000010100",
      b"101111001101101001",
      b"101111001010111101",
      b"101111001000010010",
      b"101111000101101000",
      b"101111000010111101",
      b"101111000000010011",
      b"101110111101101000",
      b"101110111010111110",
      b"101110111000010100",
      b"101110110101101011",
      b"101110110011000001",
      b"101110110000010111",
      b"101110101101101110",
      b"101110101011000101",
      b"101110101000011100",
      b"101110100101110011",
      b"101110100011001011",
      b"101110100000100010",
      b"101110011101111010",
      b"101110011011010010",
      b"101110011000101010",
      b"101110010110000010",
      b"101110010011011011",
      b"101110010000110011",
      b"101110001110001100",
      b"101110001011100101",
      b"101110001000111110",
      b"101110000110010111",
      b"101110000011110001",
      b"101110000001001010",
      b"101101111110100100",
      b"101101111011111110",
      b"101101111001011000",
      b"101101110110110010",
      b"101101110100001101",
      b"101101110001100111",
      b"101101101111000010",
      b"101101101100011101",
      b"101101101001111000",
      b"101101100111010100",
      b"101101100100101111",
      b"101101100010001011",
      b"101101011111100111",
      b"101101011101000011",
      b"101101011010011111",
      b"101101010111111100",
      b"101101010101011000",
      b"101101010010110101",
      b"101101010000010010",
      b"101101001101101111",
      b"101101001011001100",
      b"101101001000101010",
      b"101101000110001000",
      b"101101000011100101",
      b"101101000001000011",
      b"101100111110100010",
      b"101100111100000000",
      b"101100111001011111",
      b"101100110110111101",
      b"101100110100011100",
      b"101100110001111100",
      b"101100101111011011",
      b"101100101100111010",
      b"101100101010011010",
      b"101100100111111010",
      b"101100100101011010",
      b"101100100010111010",
      b"101100100000011011",
      b"101100011101111100",
      b"101100011011011100",
      b"101100011000111101",
      b"101100010110011111",
      b"101100010100000000",
      b"101100010001100010",
      b"101100001111000011",
      b"101100001100100101",
      b"101100001010000111",
      b"101100000111101010",
      b"101100000101001100",
      b"101100000010101111",
      b"101100000000010010",
      b"101011111101110101",
      b"101011111011011000",
      b"101011111000111100",
      b"101011110110100000",
      b"101011110100000100",
      b"101011110001101000",
      b"101011101111001100",
      b"101011101100110000",
      b"101011101010010101",
      b"101011100111111010",
      b"101011100101011111",
      b"101011100011000100",
      b"101011100000101010",
      b"101011011110001111",
      b"101011011011110101",
      b"101011011001011011",
      b"101011010111000010",
      b"101011010100101000",
      b"101011010010001111",
      b"101011001111110110",
      b"101011001101011101",
      b"101011001011000100",
      b"101011001000101011",
      b"101011000110010011",
      b"101011000011111011",
      b"101011000001100011",
      b"101010111111001011",
      b"101010111100110100",
      b"101010111010011100",
      b"101010111000000101",
      b"101010110101101110",
      b"101010110011011000",
      b"101010110001000001",
      b"101010101110101011",
      b"101010101100010101",
      b"101010101001111111",
      b"101010100111101001",
      b"101010100101010011",
      b"101010100010111110",
      b"101010100000101001",
      b"101010011110010100",
      b"101010011100000000",
      b"101010011001101011",
      b"101010010111010111",
      b"101010010101000011",
      b"101010010010101111",
      b"101010010000011011",
      b"101010001110001000",
      b"101010001011110101",
      b"101010001001100010",
      b"101010000111001111",
      b"101010000100111100",
      b"101010000010101010",
      b"101010000000011000",
      b"101001111110000110",
      b"101001111011110100",
      b"101001111001100011",
      b"101001110111010001",
      b"101001110101000000",
      b"101001110010101111",
      b"101001110000011111",
      b"101001101110001110",
      b"101001101011111110",
      b"101001101001101110",
      b"101001100111011110",
      b"101001100101001111",
      b"101001100010111111",
      b"101001100000110000",
      b"101001011110100001",
      b"101001011100010011",
      b"101001011010000100",
      b"101001010111110110",
      b"101001010101101000",
      b"101001010011011010",
      b"101001010001001100",
      b"101001001110111111",
      b"101001001100110010",
      b"101001001010100101",
      b"101001001000011000",
      b"101001000110001011",
      b"101001000011111111",
      b"101001000001110011",
      b"101000111111100111",
      b"101000111101011100",
      b"101000111011010000",
      b"101000111001000101",
      b"101000110110111010",
      b"101000110100101111",
      b"101000110010100101",
      b"101000110000011010",
      b"101000101110010000",
      b"101000101100000110",
      b"101000101001111101",
      b"101000100111110011",
      b"101000100101101010",
      b"101000100011100001",
      b"101000100001011001",
      b"101000011111010000",
      b"101000011101001000",
      b"101000011011000000",
      b"101000011000111000",
      b"101000010110110000",
      b"101000010100101001",
      b"101000010010100010",
      b"101000010000011011",
      b"101000001110010100",
      b"101000001100001110",
      b"101000001010001000",
      b"101000001000000010",
      b"101000000101111100",
      b"101000000011110110",
      b"101000000001110001",
      b"100111111111101100",
      b"100111111101100111",
      b"100111111011100010",
      b"100111111001011110",
      b"100111110111011010",
      b"100111110101010110",
      b"100111110011010010",
      b"100111110001001111",
      b"100111101111001100",
      b"100111101101001001",
      b"100111101011000110",
      b"100111101001000011",
      b"100111100111000001",
      b"100111100100111111",
      b"100111100010111101",
      b"100111100000111100",
      b"100111011110111010",
      b"100111011100111001",
      b"100111011010111000",
      b"100111011000111000",
      b"100111010110110111",
      b"100111010100110111",
      b"100111010010110111",
      b"100111010000111000",
      b"100111001110111000",
      b"100111001100111001",
      b"100111001010111010",
      b"100111001000111011",
      b"100111000110111101",
      b"100111000100111111",
      b"100111000011000001",
      b"100111000001000011",
      b"100110111111000101",
      b"100110111101001000",
      b"100110111011001011",
      b"100110111001001110",
      b"100110110111010010",
      b"100110110101010110",
      b"100110110011011001",
      b"100110110001011110",
      b"100110101111100010",
      b"100110101101100111",
      b"100110101011101100",
      b"100110101001110001",
      b"100110100111110110",
      b"100110100101111100",
      b"100110100100000010",
      b"100110100010001000",
      b"100110100000001110",
      b"100110011110010101",
      b"100110011100011100",
      b"100110011010100011",
      b"100110011000101010",
      b"100110010110110010",
      b"100110010100111010",
      b"100110010011000010",
      b"100110010001001010",
      b"100110001111010011",
      b"100110001101011100",
      b"100110001011100101",
      b"100110001001101110",
      b"100110000111111000",
      b"100110000110000001",
      b"100110000100001100",
      b"100110000010010110",
      b"100110000000100001",
      b"100101111110101011",
      b"100101111100110110",
      b"100101111011000010",
      b"100101111001001101",
      b"100101110111011001",
      b"100101110101100101",
      b"100101110011110010",
      b"100101110001111110",
      b"100101110000001011",
      b"100101101110011000",
      b"100101101100100110",
      b"100101101010110011",
      b"100101101001000001",
      b"100101100111001111",
      b"100101100101011110",
      b"100101100011101100",
      b"100101100001111011",
      b"100101100000001010",
      b"100101011110011010",
      b"100101011100101001",
      b"100101011010111001",
      b"100101011001001001",
      b"100101010111011010",
      b"100101010101101011",
      b"100101010011111011",
      b"100101010010001101",
      b"100101010000011110",
      b"100101001110110000",
      b"100101001101000010",
      b"100101001011010100",
      b"100101001001100111",
      b"100101000111111001",
      b"100101000110001100",
      b"100101000100100000",
      b"100101000010110011",
      b"100101000001000111",
      b"100100111111011011",
      b"100100111101101111",
      b"100100111100000100",
      b"100100111010011001",
      b"100100111000101110",
      b"100100110111000011",
      b"100100110101011001",
      b"100100110011101110",
      b"100100110010000101",
      b"100100110000011011",
      b"100100101110110010",
      b"100100101101001001",
      b"100100101011100000",
      b"100100101001110111",
      b"100100101000001111",
      b"100100100110100111",
      b"100100100100111111",
      b"100100100011011000",
      b"100100100001110000",
      b"100100100000001001",
      b"100100011110100011",
      b"100100011100111100",
      b"100100011011010110",
      b"100100011001110000",
      b"100100011000001011",
      b"100100010110100101",
      b"100100010101000000",
      b"100100010011011011",
      b"100100010001110111",
      b"100100010000010010",
      b"100100001110101110",
      b"100100001101001011",
      b"100100001011100111",
      b"100100001010000100",
      b"100100001000100001",
      b"100100000110111110",
      b"100100000101011100",
      b"100100000011111010",
      b"100100000010011000",
      b"100100000000110110",
      b"100011111111010101",
      b"100011111101110100",
      b"100011111100010011",
      b"100011111010110010",
      b"100011111001010010",
      b"100011110111110010",
      b"100011110110010010",
      b"100011110100110011",
      b"100011110011010100",
      b"100011110001110101",
      b"100011110000010110",
      b"100011101110111000",
      b"100011101101011010",
      b"100011101011111100",
      b"100011101010011110",
      b"100011101001000001",
      b"100011100111100100",
      b"100011100110000111",
      b"100011100100101011",
      b"100011100011001111",
      b"100011100001110011",
      b"100011100000010111",
      b"100011011110111100",
      b"100011011101100001",
      b"100011011100000110",
      b"100011011010101011",
      b"100011011001010001",
      b"100011010111110111",
      b"100011010110011101",
      b"100011010101000100",
      b"100011010011101011",
      b"100011010010010010",
      b"100011010000111001",
      b"100011001111100001",
      b"100011001110001001",
      b"100011001100110001",
      b"100011001011011010",
      b"100011001010000010",
      b"100011001000101011",
      b"100011000111010101",
      b"100011000101111110",
      b"100011000100101000",
      b"100011000011010010",
      b"100011000001111101",
      b"100011000000101000",
      b"100010111111010011",
      b"100010111101111110",
      b"100010111100101001",
      b"100010111011010101",
      b"100010111010000001",
      b"100010111000101110",
      b"100010110111011011",
      b"100010110110001000",
      b"100010110100110101",
      b"100010110011100010",
      b"100010110010010000",
      b"100010110000111110",
      b"100010101111101101",
      b"100010101110011011",
      b"100010101101001010",
      b"100010101011111001",
      b"100010101010101001",
      b"100010101001011001",
      b"100010101000001001",
      b"100010100110111001",
      b"100010100101101010",
      b"100010100100011011",
      b"100010100011001100",
      b"100010100001111101",
      b"100010100000101111",
      b"100010011111100001",
      b"100010011110010100",
      b"100010011101000110",
      b"100010011011111001",
      b"100010011010101100",
      b"100010011001100000",
      b"100010011000010100",
      b"100010010111001000",
      b"100010010101111100",
      b"100010010100110001",
      b"100010010011100110",
      b"100010010010011011",
      b"100010010001010000",
      b"100010010000000110",
      b"100010001110111100",
      b"100010001101110010",
      b"100010001100101001",
      b"100010001011100000",
      b"100010001010010111",
      b"100010001001001111",
      b"100010001000000110",
      b"100010000110111111",
      b"100010000101110111",
      b"100010000100110000",
      b"100010000011101000",
      b"100010000010100010",
      b"100010000001011011",
      b"100010000000010101",
      b"100001111111001111",
      b"100001111110001010",
      b"100001111101000100",
      b"100001111011111111",
      b"100001111010111010",
      b"100001111001110110",
      b"100001111000110010",
      b"100001110111101110",
      b"100001110110101010",
      b"100001110101100111",
      b"100001110100100100",
      b"100001110011100001",
      b"100001110010011111",
      b"100001110001011101",
      b"100001110000011011",
      b"100001101111011001",
      b"100001101110011000",
      b"100001101101010111",
      b"100001101100010110",
      b"100001101011010110",
      b"100001101010010110",
      b"100001101001010110",
      b"100001101000010111",
      b"100001100111010111",
      b"100001100110011000",
      b"100001100101011010",
      b"100001100100011100",
      b"100001100011011101",
      b"100001100010100000",
      b"100001100001100010",
      b"100001100000100101",
      b"100001011111101000",
      b"100001011110101100",
      b"100001011101101111",
      b"100001011100110011",
      b"100001011011111000",
      b"100001011010111100",
      b"100001011010000001",
      b"100001011001000110",
      b"100001011000001100",
      b"100001010111010010",
      b"100001010110011000",
      b"100001010101011110",
      b"100001010100100101",
      b"100001010011101100",
      b"100001010010110011",
      b"100001010001111011",
      b"100001010001000010",
      b"100001010000001011",
      b"100001001111010011",
      b"100001001110011100",
      b"100001001101100101",
      b"100001001100101110",
      b"100001001011111000",
      b"100001001011000010",
      b"100001001010001100",
      b"100001001001010110",
      b"100001001000100001",
      b"100001000111101100",
      b"100001000110111000",
      b"100001000110000011",
      b"100001000101010000",
      b"100001000100011100",
      b"100001000011101000",
      b"100001000010110101",
      b"100001000010000011",
      b"100001000001010000",
      b"100001000000011110",
      b"100000111111101100",
      b"100000111110111010",
      b"100000111110001001",
      b"100000111101011000",
      b"100000111100100111",
      b"100000111011110111",
      b"100000111011000111",
      b"100000111010010111",
      b"100000111001101000",
      b"100000111000111000",
      b"100000111000001001",
      b"100000110111011011",
      b"100000110110101100",
      b"100000110101111110",
      b"100000110101010001",
      b"100000110100100011",
      b"100000110011110110",
      b"100000110011001001",
      b"100000110010011101",
      b"100000110001110001",
      b"100000110001000101",
      b"100000110000011001",
      b"100000101111101110",
      b"100000101111000011",
      b"100000101110011000",
      b"100000101101101110",
      b"100000101101000100",
      b"100000101100011010",
      b"100000101011110000",
      b"100000101011000111",
      b"100000101010011110",
      b"100000101001110110",
      b"100000101001001110",
      b"100000101000100110",
      b"100000100111111110",
      b"100000100111010110",
      b"100000100110101111",
      b"100000100110001001",
      b"100000100101100010",
      b"100000100100111100",
      b"100000100100010110",
      b"100000100011110001",
      b"100000100011001011",
      b"100000100010100110",
      b"100000100010000010",
      b"100000100001011101",
      b"100000100000111001",
      b"100000100000010110",
      b"100000011111110010",
      b"100000011111001111",
      b"100000011110101100",
      b"100000011110001010",
      b"100000011101100111",
      b"100000011101000110",
      b"100000011100100100",
      b"100000011100000011",
      b"100000011011100010",
      b"100000011011000001",
      b"100000011010100001",
      b"100000011010000000",
      b"100000011001100001",
      b"100000011001000001",
      b"100000011000100010",
      b"100000011000000011",
      b"100000010111100101",
      b"100000010111000110",
      b"100000010110101000",
      b"100000010110001011",
      b"100000010101101101",
      b"100000010101010000",
      b"100000010100110100",
      b"100000010100010111",
      b"100000010011111011",
      b"100000010011011111",
      b"100000010011000100",
      b"100000010010101000",
      b"100000010010001101",
      b"100000010001110011",
      b"100000010001011001",
      b"100000010000111111",
      b"100000010000100101",
      b"100000010000001100",
      b"100000001111110010",
      b"100000001111011010",
      b"100000001111000001",
      b"100000001110101001",
      b"100000001110010001",
      b"100000001101111010",
      b"100000001101100010",
      b"100000001101001100",
      b"100000001100110101",
      b"100000001100011111",
      b"100000001100001001",
      b"100000001011110011",
      b"100000001011011110",
      b"100000001011001000",
      b"100000001010110100",
      b"100000001010011111",
      b"100000001010001011",
      b"100000001001110111",
      b"100000001001100100",
      b"100000001001010000",
      b"100000001000111101",
      b"100000001000101011",
      b"100000001000011000",
      b"100000001000000110",
      b"100000000111110101",
      b"100000000111100011",
      b"100000000111010010",
      b"100000000111000001",
      b"100000000110110001",
      b"100000000110100001",
      b"100000000110010001",
      b"100000000110000001",
      b"100000000101110010",
      b"100000000101100011",
      b"100000000101010101",
      b"100000000101000110",
      b"100000000100111000",
      b"100000000100101010",
      b"100000000100011101",
      b"100000000100010000",
      b"100000000100000011",
      b"100000000011110111",
      b"100000000011101010",
      b"100000000011011111",
      b"100000000011010011",
      b"100000000011001000",
      b"100000000010111101",
      b"100000000010110010",
      b"100000000010101000",
      b"100000000010011110",
      b"100000000010010100",
      b"100000000010001011",
      b"100000000010000010",
      b"100000000001111001",
      b"100000000001110000",
      b"100000000001101000",
      b"100000000001100000",
      b"100000000001011001",
      b"100000000001010010",
      b"100000000001001011",
      b"100000000001000100",
      b"100000000000111110",
      b"100000000000111000",
      b"100000000000110010",
      b"100000000000101101",
      b"100000000000100111",
      b"100000000000100011",
      b"100000000000011110",
      b"100000000000011010",
      b"100000000000010110",
      b"100000000000010011",
      b"100000000000001111",
      b"100000000000001100",
      b"100000000000001010",
      b"100000000000001000",
      b"100000000000000110",
      b"100000000000000100",
      b"100000000000000010",
      b"100000000000000001",
      b"100000000000000001",
      b"100000000000000000",
      b"100000000000000000",
      b"100000000000000000",
      b"100000000000000001",
      b"100000000000000001",
      b"100000000000000010",
      b"100000000000000100",
      b"100000000000000110",
      b"100000000000001000",
      b"100000000000001010",
      b"100000000000001100",
      b"100000000000001111",
      b"100000000000010011",
      b"100000000000010110",
      b"100000000000011010",
      b"100000000000011110",
      b"100000000000100011",
      b"100000000000100111",
      b"100000000000101101",
      b"100000000000110010",
      b"100000000000111000",
      b"100000000000111110",
      b"100000000001000100",
      b"100000000001001011",
      b"100000000001010010",
      b"100000000001011001",
      b"100000000001100000",
      b"100000000001101000",
      b"100000000001110000",
      b"100000000001111001",
      b"100000000010000010",
      b"100000000010001011",
      b"100000000010010100",
      b"100000000010011110",
      b"100000000010101000",
      b"100000000010110010",
      b"100000000010111101",
      b"100000000011001000",
      b"100000000011010011",
      b"100000000011011111",
      b"100000000011101010",
      b"100000000011110111",
      b"100000000100000011",
      b"100000000100010000",
      b"100000000100011101",
      b"100000000100101010",
      b"100000000100111000",
      b"100000000101000110",
      b"100000000101010101",
      b"100000000101100011",
      b"100000000101110010",
      b"100000000110000001",
      b"100000000110010001",
      b"100000000110100001",
      b"100000000110110001",
      b"100000000111000001",
      b"100000000111010010",
      b"100000000111100011",
      b"100000000111110101",
      b"100000001000000110",
      b"100000001000011000",
      b"100000001000101011",
      b"100000001000111101",
      b"100000001001010000",
      b"100000001001100100",
      b"100000001001110111",
      b"100000001010001011",
      b"100000001010011111",
      b"100000001010110100",
      b"100000001011001000",
      b"100000001011011110",
      b"100000001011110011",
      b"100000001100001001",
      b"100000001100011111",
      b"100000001100110101",
      b"100000001101001100",
      b"100000001101100010",
      b"100000001101111010",
      b"100000001110010001",
      b"100000001110101001",
      b"100000001111000001",
      b"100000001111011010",
      b"100000001111110010",
      b"100000010000001100",
      b"100000010000100101",
      b"100000010000111111",
      b"100000010001011001",
      b"100000010001110011",
      b"100000010010001101",
      b"100000010010101000",
      b"100000010011000100",
      b"100000010011011111",
      b"100000010011111011",
      b"100000010100010111",
      b"100000010100110100",
      b"100000010101010000",
      b"100000010101101101",
      b"100000010110001011",
      b"100000010110101000",
      b"100000010111000110",
      b"100000010111100101",
      b"100000011000000011",
      b"100000011000100010",
      b"100000011001000001",
      b"100000011001100001",
      b"100000011010000000",
      b"100000011010100001",
      b"100000011011000001",
      b"100000011011100010",
      b"100000011100000011",
      b"100000011100100100",
      b"100000011101000110",
      b"100000011101100111",
      b"100000011110001010",
      b"100000011110101100",
      b"100000011111001111",
      b"100000011111110010",
      b"100000100000010110",
      b"100000100000111001",
      b"100000100001011101",
      b"100000100010000010",
      b"100000100010100110",
      b"100000100011001011",
      b"100000100011110001",
      b"100000100100010110",
      b"100000100100111100",
      b"100000100101100010",
      b"100000100110001001",
      b"100000100110101111",
      b"100000100111010110",
      b"100000100111111110",
      b"100000101000100110",
      b"100000101001001110",
      b"100000101001110110",
      b"100000101010011110",
      b"100000101011000111",
      b"100000101011110000",
      b"100000101100011010",
      b"100000101101000100",
      b"100000101101101110",
      b"100000101110011000",
      b"100000101111000011",
      b"100000101111101110",
      b"100000110000011001",
      b"100000110001000101",
      b"100000110001110001",
      b"100000110010011101",
      b"100000110011001001",
      b"100000110011110110",
      b"100000110100100011",
      b"100000110101010001",
      b"100000110101111110",
      b"100000110110101100",
      b"100000110111011011",
      b"100000111000001001",
      b"100000111000111000",
      b"100000111001101000",
      b"100000111010010111",
      b"100000111011000111",
      b"100000111011110111",
      b"100000111100100111",
      b"100000111101011000",
      b"100000111110001001",
      b"100000111110111010",
      b"100000111111101100",
      b"100001000000011110",
      b"100001000001010000",
      b"100001000010000011",
      b"100001000010110101",
      b"100001000011101000",
      b"100001000100011100",
      b"100001000101010000",
      b"100001000110000011",
      b"100001000110111000",
      b"100001000111101100",
      b"100001001000100001",
      b"100001001001010110",
      b"100001001010001100",
      b"100001001011000010",
      b"100001001011111000",
      b"100001001100101110",
      b"100001001101100101",
      b"100001001110011100",
      b"100001001111010011",
      b"100001010000001011",
      b"100001010001000010",
      b"100001010001111011",
      b"100001010010110011",
      b"100001010011101100",
      b"100001010100100101",
      b"100001010101011110",
      b"100001010110011000",
      b"100001010111010010",
      b"100001011000001100",
      b"100001011001000110",
      b"100001011010000001",
      b"100001011010111100",
      b"100001011011111000",
      b"100001011100110011",
      b"100001011101101111",
      b"100001011110101100",
      b"100001011111101000",
      b"100001100000100101",
      b"100001100001100010",
      b"100001100010100000",
      b"100001100011011101",
      b"100001100100011100",
      b"100001100101011010",
      b"100001100110011000",
      b"100001100111010111",
      b"100001101000010111",
      b"100001101001010110",
      b"100001101010010110",
      b"100001101011010110",
      b"100001101100010110",
      b"100001101101010111",
      b"100001101110011000",
      b"100001101111011001",
      b"100001110000011011",
      b"100001110001011101",
      b"100001110010011111",
      b"100001110011100001",
      b"100001110100100100",
      b"100001110101100111",
      b"100001110110101010",
      b"100001110111101110",
      b"100001111000110010",
      b"100001111001110110",
      b"100001111010111010",
      b"100001111011111111",
      b"100001111101000100",
      b"100001111110001010",
      b"100001111111001111",
      b"100010000000010101",
      b"100010000001011011",
      b"100010000010100010",
      b"100010000011101000",
      b"100010000100110000",
      b"100010000101110111",
      b"100010000110111111",
      b"100010001000000110",
      b"100010001001001111",
      b"100010001010010111",
      b"100010001011100000",
      b"100010001100101001",
      b"100010001101110010",
      b"100010001110111100",
      b"100010010000000110",
      b"100010010001010000",
      b"100010010010011011",
      b"100010010011100110",
      b"100010010100110001",
      b"100010010101111100",
      b"100010010111001000",
      b"100010011000010100",
      b"100010011001100000",
      b"100010011010101100",
      b"100010011011111001",
      b"100010011101000110",
      b"100010011110010100",
      b"100010011111100001",
      b"100010100000101111",
      b"100010100001111101",
      b"100010100011001100",
      b"100010100100011011",
      b"100010100101101010",
      b"100010100110111001",
      b"100010101000001001",
      b"100010101001011001",
      b"100010101010101001",
      b"100010101011111001",
      b"100010101101001010",
      b"100010101110011011",
      b"100010101111101101",
      b"100010110000111110",
      b"100010110010010000",
      b"100010110011100010",
      b"100010110100110101",
      b"100010110110001000",
      b"100010110111011011",
      b"100010111000101110",
      b"100010111010000001",
      b"100010111011010101",
      b"100010111100101001",
      b"100010111101111110",
      b"100010111111010011",
      b"100011000000101000",
      b"100011000001111101",
      b"100011000011010010",
      b"100011000100101000",
      b"100011000101111110",
      b"100011000111010101",
      b"100011001000101011",
      b"100011001010000010",
      b"100011001011011010",
      b"100011001100110001",
      b"100011001110001001",
      b"100011001111100001",
      b"100011010000111001",
      b"100011010010010010",
      b"100011010011101011",
      b"100011010101000100",
      b"100011010110011101",
      b"100011010111110111",
      b"100011011001010001",
      b"100011011010101011",
      b"100011011100000110",
      b"100011011101100001",
      b"100011011110111100",
      b"100011100000010111",
      b"100011100001110011",
      b"100011100011001111",
      b"100011100100101011",
      b"100011100110000111",
      b"100011100111100100",
      b"100011101001000001",
      b"100011101010011110",
      b"100011101011111100",
      b"100011101101011010",
      b"100011101110111000",
      b"100011110000010110",
      b"100011110001110101",
      b"100011110011010100",
      b"100011110100110011",
      b"100011110110010010",
      b"100011110111110010",
      b"100011111001010010",
      b"100011111010110010",
      b"100011111100010011",
      b"100011111101110100",
      b"100011111111010101",
      b"100100000000110110",
      b"100100000010011000",
      b"100100000011111010",
      b"100100000101011100",
      b"100100000110111110",
      b"100100001000100001",
      b"100100001010000100",
      b"100100001011100111",
      b"100100001101001011",
      b"100100001110101110",
      b"100100010000010010",
      b"100100010001110111",
      b"100100010011011011",
      b"100100010101000000",
      b"100100010110100101",
      b"100100011000001011",
      b"100100011001110000",
      b"100100011011010110",
      b"100100011100111100",
      b"100100011110100011",
      b"100100100000001001",
      b"100100100001110000",
      b"100100100011011000",
      b"100100100100111111",
      b"100100100110100111",
      b"100100101000001111",
      b"100100101001110111",
      b"100100101011100000",
      b"100100101101001001",
      b"100100101110110010",
      b"100100110000011011",
      b"100100110010000101",
      b"100100110011101110",
      b"100100110101011001",
      b"100100110111000011",
      b"100100111000101110",
      b"100100111010011001",
      b"100100111100000100",
      b"100100111101101111",
      b"100100111111011011",
      b"100101000001000111",
      b"100101000010110011",
      b"100101000100100000",
      b"100101000110001100",
      b"100101000111111001",
      b"100101001001100111",
      b"100101001011010100",
      b"100101001101000010",
      b"100101001110110000",
      b"100101010000011110",
      b"100101010010001101",
      b"100101010011111011",
      b"100101010101101011",
      b"100101010111011010",
      b"100101011001001001",
      b"100101011010111001",
      b"100101011100101001",
      b"100101011110011010",
      b"100101100000001010",
      b"100101100001111011",
      b"100101100011101100",
      b"100101100101011110",
      b"100101100111001111",
      b"100101101001000001",
      b"100101101010110011",
      b"100101101100100110",
      b"100101101110011000",
      b"100101110000001011",
      b"100101110001111110",
      b"100101110011110010",
      b"100101110101100101",
      b"100101110111011001",
      b"100101111001001101",
      b"100101111011000010",
      b"100101111100110110",
      b"100101111110101011",
      b"100110000000100001",
      b"100110000010010110",
      b"100110000100001100",
      b"100110000110000001",
      b"100110000111111000",
      b"100110001001101110",
      b"100110001011100101",
      b"100110001101011100",
      b"100110001111010011",
      b"100110010001001010",
      b"100110010011000010",
      b"100110010100111010",
      b"100110010110110010",
      b"100110011000101010",
      b"100110011010100011",
      b"100110011100011100",
      b"100110011110010101",
      b"100110100000001110",
      b"100110100010001000",
      b"100110100100000010",
      b"100110100101111100",
      b"100110100111110110",
      b"100110101001110001",
      b"100110101011101100",
      b"100110101101100111",
      b"100110101111100010",
      b"100110110001011110",
      b"100110110011011001",
      b"100110110101010110",
      b"100110110111010010",
      b"100110111001001110",
      b"100110111011001011",
      b"100110111101001000",
      b"100110111111000101",
      b"100111000001000011",
      b"100111000011000001",
      b"100111000100111111",
      b"100111000110111101",
      b"100111001000111011",
      b"100111001010111010",
      b"100111001100111001",
      b"100111001110111000",
      b"100111010000111000",
      b"100111010010110111",
      b"100111010100110111",
      b"100111010110110111",
      b"100111011000111000",
      b"100111011010111000",
      b"100111011100111001",
      b"100111011110111010",
      b"100111100000111100",
      b"100111100010111101",
      b"100111100100111111",
      b"100111100111000001",
      b"100111101001000011",
      b"100111101011000110",
      b"100111101101001001",
      b"100111101111001100",
      b"100111110001001111",
      b"100111110011010010",
      b"100111110101010110",
      b"100111110111011010",
      b"100111111001011110",
      b"100111111011100010",
      b"100111111101100111",
      b"100111111111101100",
      b"101000000001110001",
      b"101000000011110110",
      b"101000000101111100",
      b"101000001000000010",
      b"101000001010001000",
      b"101000001100001110",
      b"101000001110010100",
      b"101000010000011011",
      b"101000010010100010",
      b"101000010100101001",
      b"101000010110110000",
      b"101000011000111000",
      b"101000011011000000",
      b"101000011101001000",
      b"101000011111010000",
      b"101000100001011001",
      b"101000100011100001",
      b"101000100101101010",
      b"101000100111110011",
      b"101000101001111101",
      b"101000101100000110",
      b"101000101110010000",
      b"101000110000011010",
      b"101000110010100101",
      b"101000110100101111",
      b"101000110110111010",
      b"101000111001000101",
      b"101000111011010000",
      b"101000111101011100",
      b"101000111111100111",
      b"101001000001110011",
      b"101001000011111111",
      b"101001000110001011",
      b"101001001000011000",
      b"101001001010100101",
      b"101001001100110010",
      b"101001001110111111",
      b"101001010001001100",
      b"101001010011011010",
      b"101001010101101000",
      b"101001010111110110",
      b"101001011010000100",
      b"101001011100010011",
      b"101001011110100001",
      b"101001100000110000",
      b"101001100010111111",
      b"101001100101001111",
      b"101001100111011110",
      b"101001101001101110",
      b"101001101011111110",
      b"101001101110001110",
      b"101001110000011111",
      b"101001110010101111",
      b"101001110101000000",
      b"101001110111010001",
      b"101001111001100011",
      b"101001111011110100",
      b"101001111110000110",
      b"101010000000011000",
      b"101010000010101010",
      b"101010000100111100",
      b"101010000111001111",
      b"101010001001100010",
      b"101010001011110101",
      b"101010001110001000",
      b"101010010000011011",
      b"101010010010101111",
      b"101010010101000011",
      b"101010010111010111",
      b"101010011001101011",
      b"101010011100000000",
      b"101010011110010100",
      b"101010100000101001",
      b"101010100010111110",
      b"101010100101010011",
      b"101010100111101001",
      b"101010101001111111",
      b"101010101100010101",
      b"101010101110101011",
      b"101010110001000001",
      b"101010110011011000",
      b"101010110101101110",
      b"101010111000000101",
      b"101010111010011100",
      b"101010111100110100",
      b"101010111111001011",
      b"101011000001100011",
      b"101011000011111011",
      b"101011000110010011",
      b"101011001000101011",
      b"101011001011000100",
      b"101011001101011101",
      b"101011001111110110",
      b"101011010010001111",
      b"101011010100101000",
      b"101011010111000010",
      b"101011011001011011",
      b"101011011011110101",
      b"101011011110001111",
      b"101011100000101010",
      b"101011100011000100",
      b"101011100101011111",
      b"101011100111111010",
      b"101011101010010101",
      b"101011101100110000",
      b"101011101111001100",
      b"101011110001101000",
      b"101011110100000100",
      b"101011110110100000",
      b"101011111000111100",
      b"101011111011011000",
      b"101011111101110101",
      b"101100000000010010",
      b"101100000010101111",
      b"101100000101001100",
      b"101100000111101010",
      b"101100001010000111",
      b"101100001100100101",
      b"101100001111000011",
      b"101100010001100010",
      b"101100010100000000",
      b"101100010110011111",
      b"101100011000111101",
      b"101100011011011100",
      b"101100011101111100",
      b"101100100000011011",
      b"101100100010111010",
      b"101100100101011010",
      b"101100100111111010",
      b"101100101010011010",
      b"101100101100111010",
      b"101100101111011011",
      b"101100110001111100",
      b"101100110100011100",
      b"101100110110111101",
      b"101100111001011111",
      b"101100111100000000",
      b"101100111110100010",
      b"101101000001000011",
      b"101101000011100101",
      b"101101000110001000",
      b"101101001000101010",
      b"101101001011001100",
      b"101101001101101111",
      b"101101010000010010",
      b"101101010010110101",
      b"101101010101011000",
      b"101101010111111100",
      b"101101011010011111",
      b"101101011101000011",
      b"101101011111100111",
      b"101101100010001011",
      b"101101100100101111",
      b"101101100111010100",
      b"101101101001111000",
      b"101101101100011101",
      b"101101101111000010",
      b"101101110001100111",
      b"101101110100001101",
      b"101101110110110010",
      b"101101111001011000",
      b"101101111011111110",
      b"101101111110100100",
      b"101110000001001010",
      b"101110000011110001",
      b"101110000110010111",
      b"101110001000111110",
      b"101110001011100101",
      b"101110001110001100",
      b"101110010000110011",
      b"101110010011011011",
      b"101110010110000010",
      b"101110011000101010",
      b"101110011011010010",
      b"101110011101111010",
      b"101110100000100010",
      b"101110100011001011",
      b"101110100101110011",
      b"101110101000011100",
      b"101110101011000101",
      b"101110101101101110",
      b"101110110000010111",
      b"101110110011000001",
      b"101110110101101011",
      b"101110111000010100",
      b"101110111010111110",
      b"101110111101101000",
      b"101111000000010011",
      b"101111000010111101",
      b"101111000101101000",
      b"101111001000010010",
      b"101111001010111101",
      b"101111001101101001",
      b"101111010000010100",
      b"101111010010111111",
      b"101111010101101011",
      b"101111011000010110",
      b"101111011011000010",
      b"101111011101101110",
      b"101111100000011011",
      b"101111100011000111",
      b"101111100101110100",
      b"101111101000100000",
      b"101111101011001101",
      b"101111101101111010",
      b"101111110000100111",
      b"101111110011010101",
      b"101111110110000010",
      b"101111111000110000",
      b"101111111011011110",
      b"101111111110001011",
      b"110000000000111010",
      b"110000000011101000",
      b"110000000110010110",
      b"110000001001000101",
      b"110000001011110011",
      b"110000001110100010",
      b"110000010001010001",
      b"110000010100000001",
      b"110000010110110000",
      b"110000011001011111",
      b"110000011100001111",
      b"110000011110111111",
      b"110000100001101111",
      b"110000100100011111",
      b"110000100111001111",
      b"110000101001111111",
      b"110000101100110000",
      b"110000101111100000",
      b"110000110010010001",
      b"110000110101000010",
      b"110000110111110011",
      b"110000111010100101",
      b"110000111101010110",
      b"110001000000000111",
      b"110001000010111001",
      b"110001000101101011",
      b"110001001000011101",
      b"110001001011001111",
      b"110001001110000001",
      b"110001010000110100",
      b"110001010011100110",
      b"110001010110011001",
      b"110001011001001100",
      b"110001011011111111",
      b"110001011110110010",
      b"110001100001100101",
      b"110001100100011000",
      b"110001100111001100",
      b"110001101010000000",
      b"110001101100110011",
      b"110001101111100111",
      b"110001110010011011",
      b"110001110101010000",
      b"110001111000000100",
      b"110001111010111001",
      b"110001111101101101",
      b"110010000000100010",
      b"110010000011010111",
      b"110010000110001100",
      b"110010001001000001",
      b"110010001011110110",
      b"110010001110101100",
      b"110010010001100001",
      b"110010010100010111",
      b"110010010111001101",
      b"110010011010000011",
      b"110010011100111001",
      b"110010011111101111",
      b"110010100010100101",
      b"110010100101011100",
      b"110010101000010010",
      b"110010101011001001",
      b"110010101110000000",
      b"110010110000110111",
      b"110010110011101110",
      b"110010110110100101",
      b"110010111001011101",
      b"110010111100010100",
      b"110010111111001100",
      b"110011000010000100",
      b"110011000100111011",
      b"110011000111110011",
      b"110011001010101100",
      b"110011001101100100",
      b"110011010000011100",
      b"110011010011010101",
      b"110011010110001101",
      b"110011011001000110",
      b"110011011011111111",
      b"110011011110111000",
      b"110011100001110001",
      b"110011100100101010",
      b"110011100111100100",
      b"110011101010011101",
      b"110011101101010111",
      b"110011110000010000",
      b"110011110011001010",
      b"110011110110000100",
      b"110011111000111110",
      b"110011111011111000",
      b"110011111110110011",
      b"110100000001101101",
      b"110100000100100111",
      b"110100000111100010",
      b"110100001010011101",
      b"110100001101011000",
      b"110100010000010011",
      b"110100010011001110",
      b"110100010110001001",
      b"110100011001000100",
      b"110100011100000000",
      b"110100011110111011",
      b"110100100001110111",
      b"110100100100110011",
      b"110100100111101110",
      b"110100101010101010",
      b"110100101101100111",
      b"110100110000100011",
      b"110100110011011111",
      b"110100110110011011",
      b"110100111001011000",
      b"110100111100010101",
      b"110100111111010001",
      b"110101000010001110",
      b"110101000101001011",
      b"110101001000001000",
      b"110101001011000101",
      b"110101001110000011",
      b"110101010001000000",
      b"110101010011111101",
      b"110101010110111011",
      b"110101011001111001",
      b"110101011100110110",
      b"110101011111110100",
      b"110101100010110010",
      b"110101100101110000",
      b"110101101000101110",
      b"110101101011101101",
      b"110101101110101011",
      b"110101110001101010",
      b"110101110100101000",
      b"110101110111100111",
      b"110101111010100110",
      b"110101111101100100",
      b"110110000000100011",
      b"110110000011100010",
      b"110110000110100010",
      b"110110001001100001",
      b"110110001100100000",
      b"110110001111100000",
      b"110110010010011111",
      b"110110010101011111",
      b"110110011000011110",
      b"110110011011011110",
      b"110110011110011110",
      b"110110100001011110",
      b"110110100100011110",
      b"110110100111011110",
      b"110110101010011111",
      b"110110101101011111",
      b"110110110000100000",
      b"110110110011100000",
      b"110110110110100001",
      b"110110111001100001",
      b"110110111100100010",
      b"110110111111100011",
      b"110111000010100100",
      b"110111000101100101",
      b"110111001000100110",
      b"110111001011101000",
      b"110111001110101001",
      b"110111010001101010",
      b"110111010100101100",
      b"110111010111101101",
      b"110111011010101111",
      b"110111011101110001",
      b"110111100000110011",
      b"110111100011110100",
      b"110111100110110110",
      b"110111101001111000",
      b"110111101100111011",
      b"110111101111111101",
      b"110111110010111111",
      b"110111110110000010",
      b"110111111001000100",
      b"110111111100000111",
      b"110111111111001001",
      b"111000000010001100",
      b"111000000101001111",
      b"111000001000010001",
      b"111000001011010100",
      b"111000001110010111",
      b"111000010001011010",
      b"111000010100011110",
      b"111000010111100001",
      b"111000011010100100",
      b"111000011101100111",
      b"111000100000101011",
      b"111000100011101110",
      b"111000100110110010",
      b"111000101001110110",
      b"111000101100111001",
      b"111000101111111101",
      b"111000110011000001",
      b"111000110110000101",
      b"111000111001001001",
      b"111000111100001101",
      b"111000111111010001",
      b"111001000010010101",
      b"111001000101011010",
      b"111001001000011110",
      b"111001001011100010",
      b"111001001110100111",
      b"111001010001101011",
      b"111001010100110000",
      b"111001010111110101",
      b"111001011010111001",
      b"111001011101111110",
      b"111001100001000011",
      b"111001100100001000",
      b"111001100111001101",
      b"111001101010010010",
      b"111001101101010111",
      b"111001110000011100",
      b"111001110011100010",
      b"111001110110100111",
      b"111001111001101100",
      b"111001111100110010",
      b"111001111111110111",
      b"111010000010111101",
      b"111010000110000010",
      b"111010001001001000",
      b"111010001100001101",
      b"111010001111010011",
      b"111010010010011001",
      b"111010010101011111",
      b"111010011000100101",
      b"111010011011101011",
      b"111010011110110001",
      b"111010100001110111",
      b"111010100100111101",
      b"111010101000000011",
      b"111010101011001001",
      b"111010101110010000",
      b"111010110001010110",
      b"111010110100011100",
      b"111010110111100011",
      b"111010111010101001",
      b"111010111101110000",
      b"111011000000110110",
      b"111011000011111101",
      b"111011000111000100",
      b"111011001010001010",
      b"111011001101010001",
      b"111011010000011000",
      b"111011010011011111",
      b"111011010110100110",
      b"111011011001101101",
      b"111011011100110100",
      b"111011011111111011",
      b"111011100011000010",
      b"111011100110001001",
      b"111011101001010000",
      b"111011101100010111",
      b"111011101111011111",
      b"111011110010100110",
      b"111011110101101101",
      b"111011111000110101",
      b"111011111011111100",
      b"111011111111000011",
      b"111100000010001011",
      b"111100000101010011",
      b"111100001000011010",
      b"111100001011100010",
      b"111100001110101001",
      b"111100010001110001",
      b"111100010100111001",
      b"111100011000000000",
      b"111100011011001000",
      b"111100011110010000",
      b"111100100001011000",
      b"111100100100100000",
      b"111100100111101000",
      b"111100101010110000",
      b"111100101101111000",
      b"111100110001000000",
      b"111100110100001000",
      b"111100110111010000",
      b"111100111010011000",
      b"111100111101100000",
      b"111101000000101000",
      b"111101000011110000",
      b"111101000110111001",
      b"111101001010000001",
      b"111101001101001001",
      b"111101010000010001",
      b"111101010011011010",
      b"111101010110100010",
      b"111101011001101011",
      b"111101011100110011",
      b"111101011111111011",
      b"111101100011000100",
      b"111101100110001100",
      b"111101101001010101",
      b"111101101100011101",
      b"111101101111100110",
      b"111101110010101110",
      b"111101110101110111",
      b"111101111001000000",
      b"111101111100001000",
      b"111101111111010001",
      b"111110000010011010",
      b"111110000101100010",
      b"111110001000101011",
      b"111110001011110100",
      b"111110001110111101",
      b"111110010010000101",
      b"111110010101001110",
      b"111110011000010111",
      b"111110011011100000",
      b"111110011110101000",
      b"111110100001110001",
      b"111110100100111010",
      b"111110101000000011",
      b"111110101011001100",
      b"111110101110010101",
      b"111110110001011110",
      b"111110110100100111",
      b"111110110111110000",
      b"111110111010111001",
      b"111110111110000001",
      b"111111000001001010",
      b"111111000100010011",
      b"111111000111011100",
      b"111111001010100101",
      b"111111001101101110",
      b"111111010000110111",
      b"111111010100000000",
      b"111111010111001001",
      b"111111011010010010",
      b"111111011101011011",
      b"111111100000100100",
      b"111111100011101110",
      b"111111100110110111",
      b"111111101010000000",
      b"111111101101001001",
      b"111111110000010010",
      b"111111110011011011",
      b"111111110110100100",
      b"111111111001101101",
    b"111111111100110110"
	 ); 
 
   constant wMap: wMapTyp := 
  ( 
       (1,1,1,1,1,1,1,1,1,1,1,1),
       (2,3,5,9,17,33,65,129,257,513,1025,1),
       (3,5,9,17,33,65,129,257,513,1025,1,1),
       (4,7,13,25,49,97,193,385,769,1537,1025,1),
       (5,9,17,33,65,129,257,513,1025,1,1,1),
       (6,11,21,41,81,161,321,641,1281,513,1025,1),
       (7,13,25,49,97,193,385,769,1537,1025,1,1),
       (8,15,29,57,113,225,449,897,1793,1537,1025,1),
       (9,17,33,65,129,257,513,1025,1,1,1,1),
       (10,19,37,73,145,289,577,1153,257,513,1025,1),
       (11,21,41,81,161,321,641,1281,513,1025,1,1),
       (12,23,45,89,177,353,705,1409,769,1537,1025,1),
       (13,25,49,97,193,385,769,1537,1025,1,1,1),
       (14,27,53,105,209,417,833,1665,1281,513,1025,1),
       (15,29,57,113,225,449,897,1793,1537,1025,1,1),
       (16,31,61,121,241,481,961,1921,1793,1537,1025,1),
       (17,33,65,129,257,513,1025,1,1,1,1,1),
       (18,35,69,137,273,545,1089,129,257,513,1025,1),
       (19,37,73,145,289,577,1153,257,513,1025,1,1),
       (20,39,77,153,305,609,1217,385,769,1537,1025,1),
       (21,41,81,161,321,641,1281,513,1025,1,1,1),
       (22,43,85,169,337,673,1345,641,1281,513,1025,1),
       (23,45,89,177,353,705,1409,769,1537,1025,1,1),
       (24,47,93,185,369,737,1473,897,1793,1537,1025,1),
       (25,49,97,193,385,769,1537,1025,1,1,1,1),
       (26,51,101,201,401,801,1601,1153,257,513,1025,1),
       (27,53,105,209,417,833,1665,1281,513,1025,1,1),
       (28,55,109,217,433,865,1729,1409,769,1537,1025,1),
       (29,57,113,225,449,897,1793,1537,1025,1,1,1),
       (30,59,117,233,465,929,1857,1665,1281,513,1025,1),
       (31,61,121,241,481,961,1921,1793,1537,1025,1,1),
       (32,63,125,249,497,993,1985,1921,1793,1537,1025,1),
       (33,65,129,257,513,1025,1,1,1,1,1,1),
       (34,67,133,265,529,1057,65,129,257,513,1025,1),
       (35,69,137,273,545,1089,129,257,513,1025,1,1),
       (36,71,141,281,561,1121,193,385,769,1537,1025,1),
       (37,73,145,289,577,1153,257,513,1025,1,1,1),
       (38,75,149,297,593,1185,321,641,1281,513,1025,1),
       (39,77,153,305,609,1217,385,769,1537,1025,1,1),
       (40,79,157,313,625,1249,449,897,1793,1537,1025,1),
       (41,81,161,321,641,1281,513,1025,1,1,1,1),
       (42,83,165,329,657,1313,577,1153,257,513,1025,1),
       (43,85,169,337,673,1345,641,1281,513,1025,1,1),
       (44,87,173,345,689,1377,705,1409,769,1537,1025,1),
       (45,89,177,353,705,1409,769,1537,1025,1,1,1),
       (46,91,181,361,721,1441,833,1665,1281,513,1025,1),
       (47,93,185,369,737,1473,897,1793,1537,1025,1,1),
       (48,95,189,377,753,1505,961,1921,1793,1537,1025,1),
       (49,97,193,385,769,1537,1025,1,1,1,1,1),
       (50,99,197,393,785,1569,1089,129,257,513,1025,1),
       (51,101,201,401,801,1601,1153,257,513,1025,1,1),
       (52,103,205,409,817,1633,1217,385,769,1537,1025,1),
       (53,105,209,417,833,1665,1281,513,1025,1,1,1),
       (54,107,213,425,849,1697,1345,641,1281,513,1025,1),
       (55,109,217,433,865,1729,1409,769,1537,1025,1,1),
       (56,111,221,441,881,1761,1473,897,1793,1537,1025,1),
       (57,113,225,449,897,1793,1537,1025,1,1,1,1),
       (58,115,229,457,913,1825,1601,1153,257,513,1025,1),
       (59,117,233,465,929,1857,1665,1281,513,1025,1,1),
       (60,119,237,473,945,1889,1729,1409,769,1537,1025,1),
       (61,121,241,481,961,1921,1793,1537,1025,1,1,1),
       (62,123,245,489,977,1953,1857,1665,1281,513,1025,1),
       (63,125,249,497,993,1985,1921,1793,1537,1025,1,1),
       (64,127,253,505,1009,2017,1985,1921,1793,1537,1025,1),
       (65,129,257,513,1025,1,1,1,1,1,1,1),
       (66,131,261,521,1041,33,65,129,257,513,1025,1),
       (67,133,265,529,1057,65,129,257,513,1025,1,1),
       (68,135,269,537,1073,97,193,385,769,1537,1025,1),
       (69,137,273,545,1089,129,257,513,1025,1,1,1),
       (70,139,277,553,1105,161,321,641,1281,513,1025,1),
       (71,141,281,561,1121,193,385,769,1537,1025,1,1),
       (72,143,285,569,1137,225,449,897,1793,1537,1025,1),
       (73,145,289,577,1153,257,513,1025,1,1,1,1),
       (74,147,293,585,1169,289,577,1153,257,513,1025,1),
       (75,149,297,593,1185,321,641,1281,513,1025,1,1),
       (76,151,301,601,1201,353,705,1409,769,1537,1025,1),
       (77,153,305,609,1217,385,769,1537,1025,1,1,1),
       (78,155,309,617,1233,417,833,1665,1281,513,1025,1),
       (79,157,313,625,1249,449,897,1793,1537,1025,1,1),
       (80,159,317,633,1265,481,961,1921,1793,1537,1025,1),
       (81,161,321,641,1281,513,1025,1,1,1,1,1),
       (82,163,325,649,1297,545,1089,129,257,513,1025,1),
       (83,165,329,657,1313,577,1153,257,513,1025,1,1),
       (84,167,333,665,1329,609,1217,385,769,1537,1025,1),
       (85,169,337,673,1345,641,1281,513,1025,1,1,1),
       (86,171,341,681,1361,673,1345,641,1281,513,1025,1),
       (87,173,345,689,1377,705,1409,769,1537,1025,1,1),
       (88,175,349,697,1393,737,1473,897,1793,1537,1025,1),
       (89,177,353,705,1409,769,1537,1025,1,1,1,1),
       (90,179,357,713,1425,801,1601,1153,257,513,1025,1),
       (91,181,361,721,1441,833,1665,1281,513,1025,1,1),
       (92,183,365,729,1457,865,1729,1409,769,1537,1025,1),
       (93,185,369,737,1473,897,1793,1537,1025,1,1,1),
       (94,187,373,745,1489,929,1857,1665,1281,513,1025,1),
       (95,189,377,753,1505,961,1921,1793,1537,1025,1,1),
       (96,191,381,761,1521,993,1985,1921,1793,1537,1025,1),
       (97,193,385,769,1537,1025,1,1,1,1,1,1),
       (98,195,389,777,1553,1057,65,129,257,513,1025,1),
       (99,197,393,785,1569,1089,129,257,513,1025,1,1),
       (100,199,397,793,1585,1121,193,385,769,1537,1025,1),
       (101,201,401,801,1601,1153,257,513,1025,1,1,1),
       (102,203,405,809,1617,1185,321,641,1281,513,1025,1),
       (103,205,409,817,1633,1217,385,769,1537,1025,1,1),
       (104,207,413,825,1649,1249,449,897,1793,1537,1025,1),
       (105,209,417,833,1665,1281,513,1025,1,1,1,1),
       (106,211,421,841,1681,1313,577,1153,257,513,1025,1),
       (107,213,425,849,1697,1345,641,1281,513,1025,1,1),
       (108,215,429,857,1713,1377,705,1409,769,1537,1025,1),
       (109,217,433,865,1729,1409,769,1537,1025,1,1,1),
       (110,219,437,873,1745,1441,833,1665,1281,513,1025,1),
       (111,221,441,881,1761,1473,897,1793,1537,1025,1,1),
       (112,223,445,889,1777,1505,961,1921,1793,1537,1025,1),
       (113,225,449,897,1793,1537,1025,1,1,1,1,1),
       (114,227,453,905,1809,1569,1089,129,257,513,1025,1),
       (115,229,457,913,1825,1601,1153,257,513,1025,1,1),
       (116,231,461,921,1841,1633,1217,385,769,1537,1025,1),
       (117,233,465,929,1857,1665,1281,513,1025,1,1,1),
       (118,235,469,937,1873,1697,1345,641,1281,513,1025,1),
       (119,237,473,945,1889,1729,1409,769,1537,1025,1,1),
       (120,239,477,953,1905,1761,1473,897,1793,1537,1025,1),
       (121,241,481,961,1921,1793,1537,1025,1,1,1,1),
       (122,243,485,969,1937,1825,1601,1153,257,513,1025,1),
       (123,245,489,977,1953,1857,1665,1281,513,1025,1,1),
       (124,247,493,985,1969,1889,1729,1409,769,1537,1025,1),
       (125,249,497,993,1985,1921,1793,1537,1025,1,1,1),
       (126,251,501,1001,2001,1953,1857,1665,1281,513,1025,1),
       (127,253,505,1009,2017,1985,1921,1793,1537,1025,1,1),
       (128,255,509,1017,2033,2017,1985,1921,1793,1537,1025,1),
       (129,257,513,1025,1,1,1,1,1,1,1,1),
       (130,259,517,1033,17,33,65,129,257,513,1025,1),
       (131,261,521,1041,33,65,129,257,513,1025,1,1),
       (132,263,525,1049,49,97,193,385,769,1537,1025,1),
       (133,265,529,1057,65,129,257,513,1025,1,1,1),
       (134,267,533,1065,81,161,321,641,1281,513,1025,1),
       (135,269,537,1073,97,193,385,769,1537,1025,1,1),
       (136,271,541,1081,113,225,449,897,1793,1537,1025,1),
       (137,273,545,1089,129,257,513,1025,1,1,1,1),
       (138,275,549,1097,145,289,577,1153,257,513,1025,1),
       (139,277,553,1105,161,321,641,1281,513,1025,1,1),
       (140,279,557,1113,177,353,705,1409,769,1537,1025,1),
       (141,281,561,1121,193,385,769,1537,1025,1,1,1),
       (142,283,565,1129,209,417,833,1665,1281,513,1025,1),
       (143,285,569,1137,225,449,897,1793,1537,1025,1,1),
       (144,287,573,1145,241,481,961,1921,1793,1537,1025,1),
       (145,289,577,1153,257,513,1025,1,1,1,1,1),
       (146,291,581,1161,273,545,1089,129,257,513,1025,1),
       (147,293,585,1169,289,577,1153,257,513,1025,1,1),
       (148,295,589,1177,305,609,1217,385,769,1537,1025,1),
       (149,297,593,1185,321,641,1281,513,1025,1,1,1),
       (150,299,597,1193,337,673,1345,641,1281,513,1025,1),
       (151,301,601,1201,353,705,1409,769,1537,1025,1,1),
       (152,303,605,1209,369,737,1473,897,1793,1537,1025,1),
       (153,305,609,1217,385,769,1537,1025,1,1,1,1),
       (154,307,613,1225,401,801,1601,1153,257,513,1025,1),
       (155,309,617,1233,417,833,1665,1281,513,1025,1,1),
       (156,311,621,1241,433,865,1729,1409,769,1537,1025,1),
       (157,313,625,1249,449,897,1793,1537,1025,1,1,1),
       (158,315,629,1257,465,929,1857,1665,1281,513,1025,1),
       (159,317,633,1265,481,961,1921,1793,1537,1025,1,1),
       (160,319,637,1273,497,993,1985,1921,1793,1537,1025,1),
       (161,321,641,1281,513,1025,1,1,1,1,1,1),
       (162,323,645,1289,529,1057,65,129,257,513,1025,1),
       (163,325,649,1297,545,1089,129,257,513,1025,1,1),
       (164,327,653,1305,561,1121,193,385,769,1537,1025,1),
       (165,329,657,1313,577,1153,257,513,1025,1,1,1),
       (166,331,661,1321,593,1185,321,641,1281,513,1025,1),
       (167,333,665,1329,609,1217,385,769,1537,1025,1,1),
       (168,335,669,1337,625,1249,449,897,1793,1537,1025,1),
       (169,337,673,1345,641,1281,513,1025,1,1,1,1),
       (170,339,677,1353,657,1313,577,1153,257,513,1025,1),
       (171,341,681,1361,673,1345,641,1281,513,1025,1,1),
       (172,343,685,1369,689,1377,705,1409,769,1537,1025,1),
       (173,345,689,1377,705,1409,769,1537,1025,1,1,1),
       (174,347,693,1385,721,1441,833,1665,1281,513,1025,1),
       (175,349,697,1393,737,1473,897,1793,1537,1025,1,1),
       (176,351,701,1401,753,1505,961,1921,1793,1537,1025,1),
       (177,353,705,1409,769,1537,1025,1,1,1,1,1),
       (178,355,709,1417,785,1569,1089,129,257,513,1025,1),
       (179,357,713,1425,801,1601,1153,257,513,1025,1,1),
       (180,359,717,1433,817,1633,1217,385,769,1537,1025,1),
       (181,361,721,1441,833,1665,1281,513,1025,1,1,1),
       (182,363,725,1449,849,1697,1345,641,1281,513,1025,1),
       (183,365,729,1457,865,1729,1409,769,1537,1025,1,1),
       (184,367,733,1465,881,1761,1473,897,1793,1537,1025,1),
       (185,369,737,1473,897,1793,1537,1025,1,1,1,1),
       (186,371,741,1481,913,1825,1601,1153,257,513,1025,1),
       (187,373,745,1489,929,1857,1665,1281,513,1025,1,1),
       (188,375,749,1497,945,1889,1729,1409,769,1537,1025,1),
       (189,377,753,1505,961,1921,1793,1537,1025,1,1,1),
       (190,379,757,1513,977,1953,1857,1665,1281,513,1025,1),
       (191,381,761,1521,993,1985,1921,1793,1537,1025,1,1),
       (192,383,765,1529,1009,2017,1985,1921,1793,1537,1025,1),
       (193,385,769,1537,1025,1,1,1,1,1,1,1),
       (194,387,773,1545,1041,33,65,129,257,513,1025,1),
       (195,389,777,1553,1057,65,129,257,513,1025,1,1),
       (196,391,781,1561,1073,97,193,385,769,1537,1025,1),
       (197,393,785,1569,1089,129,257,513,1025,1,1,1),
       (198,395,789,1577,1105,161,321,641,1281,513,1025,1),
       (199,397,793,1585,1121,193,385,769,1537,1025,1,1),
       (200,399,797,1593,1137,225,449,897,1793,1537,1025,1),
       (201,401,801,1601,1153,257,513,1025,1,1,1,1),
       (202,403,805,1609,1169,289,577,1153,257,513,1025,1),
       (203,405,809,1617,1185,321,641,1281,513,1025,1,1),
       (204,407,813,1625,1201,353,705,1409,769,1537,1025,1),
       (205,409,817,1633,1217,385,769,1537,1025,1,1,1),
       (206,411,821,1641,1233,417,833,1665,1281,513,1025,1),
       (207,413,825,1649,1249,449,897,1793,1537,1025,1,1),
       (208,415,829,1657,1265,481,961,1921,1793,1537,1025,1),
       (209,417,833,1665,1281,513,1025,1,1,1,1,1),
       (210,419,837,1673,1297,545,1089,129,257,513,1025,1),
       (211,421,841,1681,1313,577,1153,257,513,1025,1,1),
       (212,423,845,1689,1329,609,1217,385,769,1537,1025,1),
       (213,425,849,1697,1345,641,1281,513,1025,1,1,1),
       (214,427,853,1705,1361,673,1345,641,1281,513,1025,1),
       (215,429,857,1713,1377,705,1409,769,1537,1025,1,1),
       (216,431,861,1721,1393,737,1473,897,1793,1537,1025,1),
       (217,433,865,1729,1409,769,1537,1025,1,1,1,1),
       (218,435,869,1737,1425,801,1601,1153,257,513,1025,1),
       (219,437,873,1745,1441,833,1665,1281,513,1025,1,1),
       (220,439,877,1753,1457,865,1729,1409,769,1537,1025,1),
       (221,441,881,1761,1473,897,1793,1537,1025,1,1,1),
       (222,443,885,1769,1489,929,1857,1665,1281,513,1025,1),
       (223,445,889,1777,1505,961,1921,1793,1537,1025,1,1),
       (224,447,893,1785,1521,993,1985,1921,1793,1537,1025,1),
       (225,449,897,1793,1537,1025,1,1,1,1,1,1),
       (226,451,901,1801,1553,1057,65,129,257,513,1025,1),
       (227,453,905,1809,1569,1089,129,257,513,1025,1,1),
       (228,455,909,1817,1585,1121,193,385,769,1537,1025,1),
       (229,457,913,1825,1601,1153,257,513,1025,1,1,1),
       (230,459,917,1833,1617,1185,321,641,1281,513,1025,1),
       (231,461,921,1841,1633,1217,385,769,1537,1025,1,1),
       (232,463,925,1849,1649,1249,449,897,1793,1537,1025,1),
       (233,465,929,1857,1665,1281,513,1025,1,1,1,1),
       (234,467,933,1865,1681,1313,577,1153,257,513,1025,1),
       (235,469,937,1873,1697,1345,641,1281,513,1025,1,1),
       (236,471,941,1881,1713,1377,705,1409,769,1537,1025,1),
       (237,473,945,1889,1729,1409,769,1537,1025,1,1,1),
       (238,475,949,1897,1745,1441,833,1665,1281,513,1025,1),
       (239,477,953,1905,1761,1473,897,1793,1537,1025,1,1),
       (240,479,957,1913,1777,1505,961,1921,1793,1537,1025,1),
       (241,481,961,1921,1793,1537,1025,1,1,1,1,1),
       (242,483,965,1929,1809,1569,1089,129,257,513,1025,1),
       (243,485,969,1937,1825,1601,1153,257,513,1025,1,1),
       (244,487,973,1945,1841,1633,1217,385,769,1537,1025,1),
       (245,489,977,1953,1857,1665,1281,513,1025,1,1,1),
       (246,491,981,1961,1873,1697,1345,641,1281,513,1025,1),
       (247,493,985,1969,1889,1729,1409,769,1537,1025,1,1),
       (248,495,989,1977,1905,1761,1473,897,1793,1537,1025,1),
       (249,497,993,1985,1921,1793,1537,1025,1,1,1,1),
       (250,499,997,1993,1937,1825,1601,1153,257,513,1025,1),
       (251,501,1001,2001,1953,1857,1665,1281,513,1025,1,1),
       (252,503,1005,2009,1969,1889,1729,1409,769,1537,1025,1),
       (253,505,1009,2017,1985,1921,1793,1537,1025,1,1,1),
       (254,507,1013,2025,2001,1953,1857,1665,1281,513,1025,1),
       (255,509,1017,2033,2017,1985,1921,1793,1537,1025,1,1),
       (256,511,1021,2041,2033,2017,1985,1921,1793,1537,1025,1),
       (257,513,1025,1,1,1,1,1,1,1,1,1),
       (258,515,1029,9,17,33,65,129,257,513,1025,1),
       (259,517,1033,17,33,65,129,257,513,1025,1,1),
       (260,519,1037,25,49,97,193,385,769,1537,1025,1),
       (261,521,1041,33,65,129,257,513,1025,1,1,1),
       (262,523,1045,41,81,161,321,641,1281,513,1025,1),
       (263,525,1049,49,97,193,385,769,1537,1025,1,1),
       (264,527,1053,57,113,225,449,897,1793,1537,1025,1),
       (265,529,1057,65,129,257,513,1025,1,1,1,1),
       (266,531,1061,73,145,289,577,1153,257,513,1025,1),
       (267,533,1065,81,161,321,641,1281,513,1025,1,1),
       (268,535,1069,89,177,353,705,1409,769,1537,1025,1),
       (269,537,1073,97,193,385,769,1537,1025,1,1,1),
       (270,539,1077,105,209,417,833,1665,1281,513,1025,1),
       (271,541,1081,113,225,449,897,1793,1537,1025,1,1),
       (272,543,1085,121,241,481,961,1921,1793,1537,1025,1),
       (273,545,1089,129,257,513,1025,1,1,1,1,1),
       (274,547,1093,137,273,545,1089,129,257,513,1025,1),
       (275,549,1097,145,289,577,1153,257,513,1025,1,1),
       (276,551,1101,153,305,609,1217,385,769,1537,1025,1),
       (277,553,1105,161,321,641,1281,513,1025,1,1,1),
       (278,555,1109,169,337,673,1345,641,1281,513,1025,1),
       (279,557,1113,177,353,705,1409,769,1537,1025,1,1),
       (280,559,1117,185,369,737,1473,897,1793,1537,1025,1),
       (281,561,1121,193,385,769,1537,1025,1,1,1,1),
       (282,563,1125,201,401,801,1601,1153,257,513,1025,1),
       (283,565,1129,209,417,833,1665,1281,513,1025,1,1),
       (284,567,1133,217,433,865,1729,1409,769,1537,1025,1),
       (285,569,1137,225,449,897,1793,1537,1025,1,1,1),
       (286,571,1141,233,465,929,1857,1665,1281,513,1025,1),
       (287,573,1145,241,481,961,1921,1793,1537,1025,1,1),
       (288,575,1149,249,497,993,1985,1921,1793,1537,1025,1),
       (289,577,1153,257,513,1025,1,1,1,1,1,1),
       (290,579,1157,265,529,1057,65,129,257,513,1025,1),
       (291,581,1161,273,545,1089,129,257,513,1025,1,1),
       (292,583,1165,281,561,1121,193,385,769,1537,1025,1),
       (293,585,1169,289,577,1153,257,513,1025,1,1,1),
       (294,587,1173,297,593,1185,321,641,1281,513,1025,1),
       (295,589,1177,305,609,1217,385,769,1537,1025,1,1),
       (296,591,1181,313,625,1249,449,897,1793,1537,1025,1),
       (297,593,1185,321,641,1281,513,1025,1,1,1,1),
       (298,595,1189,329,657,1313,577,1153,257,513,1025,1),
       (299,597,1193,337,673,1345,641,1281,513,1025,1,1),
       (300,599,1197,345,689,1377,705,1409,769,1537,1025,1),
       (301,601,1201,353,705,1409,769,1537,1025,1,1,1),
       (302,603,1205,361,721,1441,833,1665,1281,513,1025,1),
       (303,605,1209,369,737,1473,897,1793,1537,1025,1,1),
       (304,607,1213,377,753,1505,961,1921,1793,1537,1025,1),
       (305,609,1217,385,769,1537,1025,1,1,1,1,1),
       (306,611,1221,393,785,1569,1089,129,257,513,1025,1),
       (307,613,1225,401,801,1601,1153,257,513,1025,1,1),
       (308,615,1229,409,817,1633,1217,385,769,1537,1025,1),
       (309,617,1233,417,833,1665,1281,513,1025,1,1,1),
       (310,619,1237,425,849,1697,1345,641,1281,513,1025,1),
       (311,621,1241,433,865,1729,1409,769,1537,1025,1,1),
       (312,623,1245,441,881,1761,1473,897,1793,1537,1025,1),
       (313,625,1249,449,897,1793,1537,1025,1,1,1,1),
       (314,627,1253,457,913,1825,1601,1153,257,513,1025,1),
       (315,629,1257,465,929,1857,1665,1281,513,1025,1,1),
       (316,631,1261,473,945,1889,1729,1409,769,1537,1025,1),
       (317,633,1265,481,961,1921,1793,1537,1025,1,1,1),
       (318,635,1269,489,977,1953,1857,1665,1281,513,1025,1),
       (319,637,1273,497,993,1985,1921,1793,1537,1025,1,1),
       (320,639,1277,505,1009,2017,1985,1921,1793,1537,1025,1),
       (321,641,1281,513,1025,1,1,1,1,1,1,1),
       (322,643,1285,521,1041,33,65,129,257,513,1025,1),
       (323,645,1289,529,1057,65,129,257,513,1025,1,1),
       (324,647,1293,537,1073,97,193,385,769,1537,1025,1),
       (325,649,1297,545,1089,129,257,513,1025,1,1,1),
       (326,651,1301,553,1105,161,321,641,1281,513,1025,1),
       (327,653,1305,561,1121,193,385,769,1537,1025,1,1),
       (328,655,1309,569,1137,225,449,897,1793,1537,1025,1),
       (329,657,1313,577,1153,257,513,1025,1,1,1,1),
       (330,659,1317,585,1169,289,577,1153,257,513,1025,1),
       (331,661,1321,593,1185,321,641,1281,513,1025,1,1),
       (332,663,1325,601,1201,353,705,1409,769,1537,1025,1),
       (333,665,1329,609,1217,385,769,1537,1025,1,1,1),
       (334,667,1333,617,1233,417,833,1665,1281,513,1025,1),
       (335,669,1337,625,1249,449,897,1793,1537,1025,1,1),
       (336,671,1341,633,1265,481,961,1921,1793,1537,1025,1),
       (337,673,1345,641,1281,513,1025,1,1,1,1,1),
       (338,675,1349,649,1297,545,1089,129,257,513,1025,1),
       (339,677,1353,657,1313,577,1153,257,513,1025,1,1),
       (340,679,1357,665,1329,609,1217,385,769,1537,1025,1),
       (341,681,1361,673,1345,641,1281,513,1025,1,1,1),
       (342,683,1365,681,1361,673,1345,641,1281,513,1025,1),
       (343,685,1369,689,1377,705,1409,769,1537,1025,1,1),
       (344,687,1373,697,1393,737,1473,897,1793,1537,1025,1),
       (345,689,1377,705,1409,769,1537,1025,1,1,1,1),
       (346,691,1381,713,1425,801,1601,1153,257,513,1025,1),
       (347,693,1385,721,1441,833,1665,1281,513,1025,1,1),
       (348,695,1389,729,1457,865,1729,1409,769,1537,1025,1),
       (349,697,1393,737,1473,897,1793,1537,1025,1,1,1),
       (350,699,1397,745,1489,929,1857,1665,1281,513,1025,1),
       (351,701,1401,753,1505,961,1921,1793,1537,1025,1,1),
       (352,703,1405,761,1521,993,1985,1921,1793,1537,1025,1),
       (353,705,1409,769,1537,1025,1,1,1,1,1,1),
       (354,707,1413,777,1553,1057,65,129,257,513,1025,1),
       (355,709,1417,785,1569,1089,129,257,513,1025,1,1),
       (356,711,1421,793,1585,1121,193,385,769,1537,1025,1),
       (357,713,1425,801,1601,1153,257,513,1025,1,1,1),
       (358,715,1429,809,1617,1185,321,641,1281,513,1025,1),
       (359,717,1433,817,1633,1217,385,769,1537,1025,1,1),
       (360,719,1437,825,1649,1249,449,897,1793,1537,1025,1),
       (361,721,1441,833,1665,1281,513,1025,1,1,1,1),
       (362,723,1445,841,1681,1313,577,1153,257,513,1025,1),
       (363,725,1449,849,1697,1345,641,1281,513,1025,1,1),
       (364,727,1453,857,1713,1377,705,1409,769,1537,1025,1),
       (365,729,1457,865,1729,1409,769,1537,1025,1,1,1),
       (366,731,1461,873,1745,1441,833,1665,1281,513,1025,1),
       (367,733,1465,881,1761,1473,897,1793,1537,1025,1,1),
       (368,735,1469,889,1777,1505,961,1921,1793,1537,1025,1),
       (369,737,1473,897,1793,1537,1025,1,1,1,1,1),
       (370,739,1477,905,1809,1569,1089,129,257,513,1025,1),
       (371,741,1481,913,1825,1601,1153,257,513,1025,1,1),
       (372,743,1485,921,1841,1633,1217,385,769,1537,1025,1),
       (373,745,1489,929,1857,1665,1281,513,1025,1,1,1),
       (374,747,1493,937,1873,1697,1345,641,1281,513,1025,1),
       (375,749,1497,945,1889,1729,1409,769,1537,1025,1,1),
       (376,751,1501,953,1905,1761,1473,897,1793,1537,1025,1),
       (377,753,1505,961,1921,1793,1537,1025,1,1,1,1),
       (378,755,1509,969,1937,1825,1601,1153,257,513,1025,1),
       (379,757,1513,977,1953,1857,1665,1281,513,1025,1,1),
       (380,759,1517,985,1969,1889,1729,1409,769,1537,1025,1),
       (381,761,1521,993,1985,1921,1793,1537,1025,1,1,1),
       (382,763,1525,1001,2001,1953,1857,1665,1281,513,1025,1),
       (383,765,1529,1009,2017,1985,1921,1793,1537,1025,1,1),
       (384,767,1533,1017,2033,2017,1985,1921,1793,1537,1025,1),
       (385,769,1537,1025,1,1,1,1,1,1,1,1),
       (386,771,1541,1033,17,33,65,129,257,513,1025,1),
       (387,773,1545,1041,33,65,129,257,513,1025,1,1),
       (388,775,1549,1049,49,97,193,385,769,1537,1025,1),
       (389,777,1553,1057,65,129,257,513,1025,1,1,1),
       (390,779,1557,1065,81,161,321,641,1281,513,1025,1),
       (391,781,1561,1073,97,193,385,769,1537,1025,1,1),
       (392,783,1565,1081,113,225,449,897,1793,1537,1025,1),
       (393,785,1569,1089,129,257,513,1025,1,1,1,1),
       (394,787,1573,1097,145,289,577,1153,257,513,1025,1),
       (395,789,1577,1105,161,321,641,1281,513,1025,1,1),
       (396,791,1581,1113,177,353,705,1409,769,1537,1025,1),
       (397,793,1585,1121,193,385,769,1537,1025,1,1,1),
       (398,795,1589,1129,209,417,833,1665,1281,513,1025,1),
       (399,797,1593,1137,225,449,897,1793,1537,1025,1,1),
       (400,799,1597,1145,241,481,961,1921,1793,1537,1025,1),
       (401,801,1601,1153,257,513,1025,1,1,1,1,1),
       (402,803,1605,1161,273,545,1089,129,257,513,1025,1),
       (403,805,1609,1169,289,577,1153,257,513,1025,1,1),
       (404,807,1613,1177,305,609,1217,385,769,1537,1025,1),
       (405,809,1617,1185,321,641,1281,513,1025,1,1,1),
       (406,811,1621,1193,337,673,1345,641,1281,513,1025,1),
       (407,813,1625,1201,353,705,1409,769,1537,1025,1,1),
       (408,815,1629,1209,369,737,1473,897,1793,1537,1025,1),
       (409,817,1633,1217,385,769,1537,1025,1,1,1,1),
       (410,819,1637,1225,401,801,1601,1153,257,513,1025,1),
       (411,821,1641,1233,417,833,1665,1281,513,1025,1,1),
       (412,823,1645,1241,433,865,1729,1409,769,1537,1025,1),
       (413,825,1649,1249,449,897,1793,1537,1025,1,1,1),
       (414,827,1653,1257,465,929,1857,1665,1281,513,1025,1),
       (415,829,1657,1265,481,961,1921,1793,1537,1025,1,1),
       (416,831,1661,1273,497,993,1985,1921,1793,1537,1025,1),
       (417,833,1665,1281,513,1025,1,1,1,1,1,1),
       (418,835,1669,1289,529,1057,65,129,257,513,1025,1),
       (419,837,1673,1297,545,1089,129,257,513,1025,1,1),
       (420,839,1677,1305,561,1121,193,385,769,1537,1025,1),
       (421,841,1681,1313,577,1153,257,513,1025,1,1,1),
       (422,843,1685,1321,593,1185,321,641,1281,513,1025,1),
       (423,845,1689,1329,609,1217,385,769,1537,1025,1,1),
       (424,847,1693,1337,625,1249,449,897,1793,1537,1025,1),
       (425,849,1697,1345,641,1281,513,1025,1,1,1,1),
       (426,851,1701,1353,657,1313,577,1153,257,513,1025,1),
       (427,853,1705,1361,673,1345,641,1281,513,1025,1,1),
       (428,855,1709,1369,689,1377,705,1409,769,1537,1025,1),
       (429,857,1713,1377,705,1409,769,1537,1025,1,1,1),
       (430,859,1717,1385,721,1441,833,1665,1281,513,1025,1),
       (431,861,1721,1393,737,1473,897,1793,1537,1025,1,1),
       (432,863,1725,1401,753,1505,961,1921,1793,1537,1025,1),
       (433,865,1729,1409,769,1537,1025,1,1,1,1,1),
       (434,867,1733,1417,785,1569,1089,129,257,513,1025,1),
       (435,869,1737,1425,801,1601,1153,257,513,1025,1,1),
       (436,871,1741,1433,817,1633,1217,385,769,1537,1025,1),
       (437,873,1745,1441,833,1665,1281,513,1025,1,1,1),
       (438,875,1749,1449,849,1697,1345,641,1281,513,1025,1),
       (439,877,1753,1457,865,1729,1409,769,1537,1025,1,1),
       (440,879,1757,1465,881,1761,1473,897,1793,1537,1025,1),
       (441,881,1761,1473,897,1793,1537,1025,1,1,1,1),
       (442,883,1765,1481,913,1825,1601,1153,257,513,1025,1),
       (443,885,1769,1489,929,1857,1665,1281,513,1025,1,1),
       (444,887,1773,1497,945,1889,1729,1409,769,1537,1025,1),
       (445,889,1777,1505,961,1921,1793,1537,1025,1,1,1),
       (446,891,1781,1513,977,1953,1857,1665,1281,513,1025,1),
       (447,893,1785,1521,993,1985,1921,1793,1537,1025,1,1),
       (448,895,1789,1529,1009,2017,1985,1921,1793,1537,1025,1),
       (449,897,1793,1537,1025,1,1,1,1,1,1,1),
       (450,899,1797,1545,1041,33,65,129,257,513,1025,1),
       (451,901,1801,1553,1057,65,129,257,513,1025,1,1),
       (452,903,1805,1561,1073,97,193,385,769,1537,1025,1),
       (453,905,1809,1569,1089,129,257,513,1025,1,1,1),
       (454,907,1813,1577,1105,161,321,641,1281,513,1025,1),
       (455,909,1817,1585,1121,193,385,769,1537,1025,1,1),
       (456,911,1821,1593,1137,225,449,897,1793,1537,1025,1),
       (457,913,1825,1601,1153,257,513,1025,1,1,1,1),
       (458,915,1829,1609,1169,289,577,1153,257,513,1025,1),
       (459,917,1833,1617,1185,321,641,1281,513,1025,1,1),
       (460,919,1837,1625,1201,353,705,1409,769,1537,1025,1),
       (461,921,1841,1633,1217,385,769,1537,1025,1,1,1),
       (462,923,1845,1641,1233,417,833,1665,1281,513,1025,1),
       (463,925,1849,1649,1249,449,897,1793,1537,1025,1,1),
       (464,927,1853,1657,1265,481,961,1921,1793,1537,1025,1),
       (465,929,1857,1665,1281,513,1025,1,1,1,1,1),
       (466,931,1861,1673,1297,545,1089,129,257,513,1025,1),
       (467,933,1865,1681,1313,577,1153,257,513,1025,1,1),
       (468,935,1869,1689,1329,609,1217,385,769,1537,1025,1),
       (469,937,1873,1697,1345,641,1281,513,1025,1,1,1),
       (470,939,1877,1705,1361,673,1345,641,1281,513,1025,1),
       (471,941,1881,1713,1377,705,1409,769,1537,1025,1,1),
       (472,943,1885,1721,1393,737,1473,897,1793,1537,1025,1),
       (473,945,1889,1729,1409,769,1537,1025,1,1,1,1),
       (474,947,1893,1737,1425,801,1601,1153,257,513,1025,1),
       (475,949,1897,1745,1441,833,1665,1281,513,1025,1,1),
       (476,951,1901,1753,1457,865,1729,1409,769,1537,1025,1),
       (477,953,1905,1761,1473,897,1793,1537,1025,1,1,1),
       (478,955,1909,1769,1489,929,1857,1665,1281,513,1025,1),
       (479,957,1913,1777,1505,961,1921,1793,1537,1025,1,1),
       (480,959,1917,1785,1521,993,1985,1921,1793,1537,1025,1),
       (481,961,1921,1793,1537,1025,1,1,1,1,1,1),
       (482,963,1925,1801,1553,1057,65,129,257,513,1025,1),
       (483,965,1929,1809,1569,1089,129,257,513,1025,1,1),
       (484,967,1933,1817,1585,1121,193,385,769,1537,1025,1),
       (485,969,1937,1825,1601,1153,257,513,1025,1,1,1),
       (486,971,1941,1833,1617,1185,321,641,1281,513,1025,1),
       (487,973,1945,1841,1633,1217,385,769,1537,1025,1,1),
       (488,975,1949,1849,1649,1249,449,897,1793,1537,1025,1),
       (489,977,1953,1857,1665,1281,513,1025,1,1,1,1),
       (490,979,1957,1865,1681,1313,577,1153,257,513,1025,1),
       (491,981,1961,1873,1697,1345,641,1281,513,1025,1,1),
       (492,983,1965,1881,1713,1377,705,1409,769,1537,1025,1),
       (493,985,1969,1889,1729,1409,769,1537,1025,1,1,1),
       (494,987,1973,1897,1745,1441,833,1665,1281,513,1025,1),
       (495,989,1977,1905,1761,1473,897,1793,1537,1025,1,1),
       (496,991,1981,1913,1777,1505,961,1921,1793,1537,1025,1),
       (497,993,1985,1921,1793,1537,1025,1,1,1,1,1),
       (498,995,1989,1929,1809,1569,1089,129,257,513,1025,1),
       (499,997,1993,1937,1825,1601,1153,257,513,1025,1,1),
       (500,999,1997,1945,1841,1633,1217,385,769,1537,1025,1),
       (501,1001,2001,1953,1857,1665,1281,513,1025,1,1,1),
       (502,1003,2005,1961,1873,1697,1345,641,1281,513,1025,1),
       (503,1005,2009,1969,1889,1729,1409,769,1537,1025,1,1),
       (504,1007,2013,1977,1905,1761,1473,897,1793,1537,1025,1),
       (505,1009,2017,1985,1921,1793,1537,1025,1,1,1,1),
       (506,1011,2021,1993,1937,1825,1601,1153,257,513,1025,1),
       (507,1013,2025,2001,1953,1857,1665,1281,513,1025,1,1),
       (508,1015,2029,2009,1969,1889,1729,1409,769,1537,1025,1),
       (509,1017,2033,2017,1985,1921,1793,1537,1025,1,1,1),
       (510,1019,2037,2025,2001,1953,1857,1665,1281,513,1025,1),
       (511,1021,2041,2033,2017,1985,1921,1793,1537,1025,1,1),
       (512,1023,2045,2041,2033,2017,1985,1921,1793,1537,1025,1),
       (513,1025,1,1,1,1,1,1,1,1,1,1),
       (514,1027,5,9,17,33,65,129,257,513,1025,1),
       (515,1029,9,17,33,65,129,257,513,1025,1,1),
       (516,1031,13,25,49,97,193,385,769,1537,1025,1),
       (517,1033,17,33,65,129,257,513,1025,1,1,1),
       (518,1035,21,41,81,161,321,641,1281,513,1025,1),
       (519,1037,25,49,97,193,385,769,1537,1025,1,1),
       (520,1039,29,57,113,225,449,897,1793,1537,1025,1),
       (521,1041,33,65,129,257,513,1025,1,1,1,1),
       (522,1043,37,73,145,289,577,1153,257,513,1025,1),
       (523,1045,41,81,161,321,641,1281,513,1025,1,1),
       (524,1047,45,89,177,353,705,1409,769,1537,1025,1),
       (525,1049,49,97,193,385,769,1537,1025,1,1,1),
       (526,1051,53,105,209,417,833,1665,1281,513,1025,1),
       (527,1053,57,113,225,449,897,1793,1537,1025,1,1),
       (528,1055,61,121,241,481,961,1921,1793,1537,1025,1),
       (529,1057,65,129,257,513,1025,1,1,1,1,1),
       (530,1059,69,137,273,545,1089,129,257,513,1025,1),
       (531,1061,73,145,289,577,1153,257,513,1025,1,1),
       (532,1063,77,153,305,609,1217,385,769,1537,1025,1),
       (533,1065,81,161,321,641,1281,513,1025,1,1,1),
       (534,1067,85,169,337,673,1345,641,1281,513,1025,1),
       (535,1069,89,177,353,705,1409,769,1537,1025,1,1),
       (536,1071,93,185,369,737,1473,897,1793,1537,1025,1),
       (537,1073,97,193,385,769,1537,1025,1,1,1,1),
       (538,1075,101,201,401,801,1601,1153,257,513,1025,1),
       (539,1077,105,209,417,833,1665,1281,513,1025,1,1),
       (540,1079,109,217,433,865,1729,1409,769,1537,1025,1),
       (541,1081,113,225,449,897,1793,1537,1025,1,1,1),
       (542,1083,117,233,465,929,1857,1665,1281,513,1025,1),
       (543,1085,121,241,481,961,1921,1793,1537,1025,1,1),
       (544,1087,125,249,497,993,1985,1921,1793,1537,1025,1),
       (545,1089,129,257,513,1025,1,1,1,1,1,1),
       (546,1091,133,265,529,1057,65,129,257,513,1025,1),
       (547,1093,137,273,545,1089,129,257,513,1025,1,1),
       (548,1095,141,281,561,1121,193,385,769,1537,1025,1),
       (549,1097,145,289,577,1153,257,513,1025,1,1,1),
       (550,1099,149,297,593,1185,321,641,1281,513,1025,1),
       (551,1101,153,305,609,1217,385,769,1537,1025,1,1),
       (552,1103,157,313,625,1249,449,897,1793,1537,1025,1),
       (553,1105,161,321,641,1281,513,1025,1,1,1,1),
       (554,1107,165,329,657,1313,577,1153,257,513,1025,1),
       (555,1109,169,337,673,1345,641,1281,513,1025,1,1),
       (556,1111,173,345,689,1377,705,1409,769,1537,1025,1),
       (557,1113,177,353,705,1409,769,1537,1025,1,1,1),
       (558,1115,181,361,721,1441,833,1665,1281,513,1025,1),
       (559,1117,185,369,737,1473,897,1793,1537,1025,1,1),
       (560,1119,189,377,753,1505,961,1921,1793,1537,1025,1),
       (561,1121,193,385,769,1537,1025,1,1,1,1,1),
       (562,1123,197,393,785,1569,1089,129,257,513,1025,1),
       (563,1125,201,401,801,1601,1153,257,513,1025,1,1),
       (564,1127,205,409,817,1633,1217,385,769,1537,1025,1),
       (565,1129,209,417,833,1665,1281,513,1025,1,1,1),
       (566,1131,213,425,849,1697,1345,641,1281,513,1025,1),
       (567,1133,217,433,865,1729,1409,769,1537,1025,1,1),
       (568,1135,221,441,881,1761,1473,897,1793,1537,1025,1),
       (569,1137,225,449,897,1793,1537,1025,1,1,1,1),
       (570,1139,229,457,913,1825,1601,1153,257,513,1025,1),
       (571,1141,233,465,929,1857,1665,1281,513,1025,1,1),
       (572,1143,237,473,945,1889,1729,1409,769,1537,1025,1),
       (573,1145,241,481,961,1921,1793,1537,1025,1,1,1),
       (574,1147,245,489,977,1953,1857,1665,1281,513,1025,1),
       (575,1149,249,497,993,1985,1921,1793,1537,1025,1,1),
       (576,1151,253,505,1009,2017,1985,1921,1793,1537,1025,1),
       (577,1153,257,513,1025,1,1,1,1,1,1,1),
       (578,1155,261,521,1041,33,65,129,257,513,1025,1),
       (579,1157,265,529,1057,65,129,257,513,1025,1,1),
       (580,1159,269,537,1073,97,193,385,769,1537,1025,1),
       (581,1161,273,545,1089,129,257,513,1025,1,1,1),
       (582,1163,277,553,1105,161,321,641,1281,513,1025,1),
       (583,1165,281,561,1121,193,385,769,1537,1025,1,1),
       (584,1167,285,569,1137,225,449,897,1793,1537,1025,1),
       (585,1169,289,577,1153,257,513,1025,1,1,1,1),
       (586,1171,293,585,1169,289,577,1153,257,513,1025,1),
       (587,1173,297,593,1185,321,641,1281,513,1025,1,1),
       (588,1175,301,601,1201,353,705,1409,769,1537,1025,1),
       (589,1177,305,609,1217,385,769,1537,1025,1,1,1),
       (590,1179,309,617,1233,417,833,1665,1281,513,1025,1),
       (591,1181,313,625,1249,449,897,1793,1537,1025,1,1),
       (592,1183,317,633,1265,481,961,1921,1793,1537,1025,1),
       (593,1185,321,641,1281,513,1025,1,1,1,1,1),
       (594,1187,325,649,1297,545,1089,129,257,513,1025,1),
       (595,1189,329,657,1313,577,1153,257,513,1025,1,1),
       (596,1191,333,665,1329,609,1217,385,769,1537,1025,1),
       (597,1193,337,673,1345,641,1281,513,1025,1,1,1),
       (598,1195,341,681,1361,673,1345,641,1281,513,1025,1),
       (599,1197,345,689,1377,705,1409,769,1537,1025,1,1),
       (600,1199,349,697,1393,737,1473,897,1793,1537,1025,1),
       (601,1201,353,705,1409,769,1537,1025,1,1,1,1),
       (602,1203,357,713,1425,801,1601,1153,257,513,1025,1),
       (603,1205,361,721,1441,833,1665,1281,513,1025,1,1),
       (604,1207,365,729,1457,865,1729,1409,769,1537,1025,1),
       (605,1209,369,737,1473,897,1793,1537,1025,1,1,1),
       (606,1211,373,745,1489,929,1857,1665,1281,513,1025,1),
       (607,1213,377,753,1505,961,1921,1793,1537,1025,1,1),
       (608,1215,381,761,1521,993,1985,1921,1793,1537,1025,1),
       (609,1217,385,769,1537,1025,1,1,1,1,1,1),
       (610,1219,389,777,1553,1057,65,129,257,513,1025,1),
       (611,1221,393,785,1569,1089,129,257,513,1025,1,1),
       (612,1223,397,793,1585,1121,193,385,769,1537,1025,1),
       (613,1225,401,801,1601,1153,257,513,1025,1,1,1),
       (614,1227,405,809,1617,1185,321,641,1281,513,1025,1),
       (615,1229,409,817,1633,1217,385,769,1537,1025,1,1),
       (616,1231,413,825,1649,1249,449,897,1793,1537,1025,1),
       (617,1233,417,833,1665,1281,513,1025,1,1,1,1),
       (618,1235,421,841,1681,1313,577,1153,257,513,1025,1),
       (619,1237,425,849,1697,1345,641,1281,513,1025,1,1),
       (620,1239,429,857,1713,1377,705,1409,769,1537,1025,1),
       (621,1241,433,865,1729,1409,769,1537,1025,1,1,1),
       (622,1243,437,873,1745,1441,833,1665,1281,513,1025,1),
       (623,1245,441,881,1761,1473,897,1793,1537,1025,1,1),
       (624,1247,445,889,1777,1505,961,1921,1793,1537,1025,1),
       (625,1249,449,897,1793,1537,1025,1,1,1,1,1),
       (626,1251,453,905,1809,1569,1089,129,257,513,1025,1),
       (627,1253,457,913,1825,1601,1153,257,513,1025,1,1),
       (628,1255,461,921,1841,1633,1217,385,769,1537,1025,1),
       (629,1257,465,929,1857,1665,1281,513,1025,1,1,1),
       (630,1259,469,937,1873,1697,1345,641,1281,513,1025,1),
       (631,1261,473,945,1889,1729,1409,769,1537,1025,1,1),
       (632,1263,477,953,1905,1761,1473,897,1793,1537,1025,1),
       (633,1265,481,961,1921,1793,1537,1025,1,1,1,1),
       (634,1267,485,969,1937,1825,1601,1153,257,513,1025,1),
       (635,1269,489,977,1953,1857,1665,1281,513,1025,1,1),
       (636,1271,493,985,1969,1889,1729,1409,769,1537,1025,1),
       (637,1273,497,993,1985,1921,1793,1537,1025,1,1,1),
       (638,1275,501,1001,2001,1953,1857,1665,1281,513,1025,1),
       (639,1277,505,1009,2017,1985,1921,1793,1537,1025,1,1),
       (640,1279,509,1017,2033,2017,1985,1921,1793,1537,1025,1),
       (641,1281,513,1025,1,1,1,1,1,1,1,1),
       (642,1283,517,1033,17,33,65,129,257,513,1025,1),
       (643,1285,521,1041,33,65,129,257,513,1025,1,1),
       (644,1287,525,1049,49,97,193,385,769,1537,1025,1),
       (645,1289,529,1057,65,129,257,513,1025,1,1,1),
       (646,1291,533,1065,81,161,321,641,1281,513,1025,1),
       (647,1293,537,1073,97,193,385,769,1537,1025,1,1),
       (648,1295,541,1081,113,225,449,897,1793,1537,1025,1),
       (649,1297,545,1089,129,257,513,1025,1,1,1,1),
       (650,1299,549,1097,145,289,577,1153,257,513,1025,1),
       (651,1301,553,1105,161,321,641,1281,513,1025,1,1),
       (652,1303,557,1113,177,353,705,1409,769,1537,1025,1),
       (653,1305,561,1121,193,385,769,1537,1025,1,1,1),
       (654,1307,565,1129,209,417,833,1665,1281,513,1025,1),
       (655,1309,569,1137,225,449,897,1793,1537,1025,1,1),
       (656,1311,573,1145,241,481,961,1921,1793,1537,1025,1),
       (657,1313,577,1153,257,513,1025,1,1,1,1,1),
       (658,1315,581,1161,273,545,1089,129,257,513,1025,1),
       (659,1317,585,1169,289,577,1153,257,513,1025,1,1),
       (660,1319,589,1177,305,609,1217,385,769,1537,1025,1),
       (661,1321,593,1185,321,641,1281,513,1025,1,1,1),
       (662,1323,597,1193,337,673,1345,641,1281,513,1025,1),
       (663,1325,601,1201,353,705,1409,769,1537,1025,1,1),
       (664,1327,605,1209,369,737,1473,897,1793,1537,1025,1),
       (665,1329,609,1217,385,769,1537,1025,1,1,1,1),
       (666,1331,613,1225,401,801,1601,1153,257,513,1025,1),
       (667,1333,617,1233,417,833,1665,1281,513,1025,1,1),
       (668,1335,621,1241,433,865,1729,1409,769,1537,1025,1),
       (669,1337,625,1249,449,897,1793,1537,1025,1,1,1),
       (670,1339,629,1257,465,929,1857,1665,1281,513,1025,1),
       (671,1341,633,1265,481,961,1921,1793,1537,1025,1,1),
       (672,1343,637,1273,497,993,1985,1921,1793,1537,1025,1),
       (673,1345,641,1281,513,1025,1,1,1,1,1,1),
       (674,1347,645,1289,529,1057,65,129,257,513,1025,1),
       (675,1349,649,1297,545,1089,129,257,513,1025,1,1),
       (676,1351,653,1305,561,1121,193,385,769,1537,1025,1),
       (677,1353,657,1313,577,1153,257,513,1025,1,1,1),
       (678,1355,661,1321,593,1185,321,641,1281,513,1025,1),
       (679,1357,665,1329,609,1217,385,769,1537,1025,1,1),
       (680,1359,669,1337,625,1249,449,897,1793,1537,1025,1),
       (681,1361,673,1345,641,1281,513,1025,1,1,1,1),
       (682,1363,677,1353,657,1313,577,1153,257,513,1025,1),
       (683,1365,681,1361,673,1345,641,1281,513,1025,1,1),
       (684,1367,685,1369,689,1377,705,1409,769,1537,1025,1),
       (685,1369,689,1377,705,1409,769,1537,1025,1,1,1),
       (686,1371,693,1385,721,1441,833,1665,1281,513,1025,1),
       (687,1373,697,1393,737,1473,897,1793,1537,1025,1,1),
       (688,1375,701,1401,753,1505,961,1921,1793,1537,1025,1),
       (689,1377,705,1409,769,1537,1025,1,1,1,1,1),
       (690,1379,709,1417,785,1569,1089,129,257,513,1025,1),
       (691,1381,713,1425,801,1601,1153,257,513,1025,1,1),
       (692,1383,717,1433,817,1633,1217,385,769,1537,1025,1),
       (693,1385,721,1441,833,1665,1281,513,1025,1,1,1),
       (694,1387,725,1449,849,1697,1345,641,1281,513,1025,1),
       (695,1389,729,1457,865,1729,1409,769,1537,1025,1,1),
       (696,1391,733,1465,881,1761,1473,897,1793,1537,1025,1),
       (697,1393,737,1473,897,1793,1537,1025,1,1,1,1),
       (698,1395,741,1481,913,1825,1601,1153,257,513,1025,1),
       (699,1397,745,1489,929,1857,1665,1281,513,1025,1,1),
       (700,1399,749,1497,945,1889,1729,1409,769,1537,1025,1),
       (701,1401,753,1505,961,1921,1793,1537,1025,1,1,1),
       (702,1403,757,1513,977,1953,1857,1665,1281,513,1025,1),
       (703,1405,761,1521,993,1985,1921,1793,1537,1025,1,1),
       (704,1407,765,1529,1009,2017,1985,1921,1793,1537,1025,1),
       (705,1409,769,1537,1025,1,1,1,1,1,1,1),
       (706,1411,773,1545,1041,33,65,129,257,513,1025,1),
       (707,1413,777,1553,1057,65,129,257,513,1025,1,1),
       (708,1415,781,1561,1073,97,193,385,769,1537,1025,1),
       (709,1417,785,1569,1089,129,257,513,1025,1,1,1),
       (710,1419,789,1577,1105,161,321,641,1281,513,1025,1),
       (711,1421,793,1585,1121,193,385,769,1537,1025,1,1),
       (712,1423,797,1593,1137,225,449,897,1793,1537,1025,1),
       (713,1425,801,1601,1153,257,513,1025,1,1,1,1),
       (714,1427,805,1609,1169,289,577,1153,257,513,1025,1),
       (715,1429,809,1617,1185,321,641,1281,513,1025,1,1),
       (716,1431,813,1625,1201,353,705,1409,769,1537,1025,1),
       (717,1433,817,1633,1217,385,769,1537,1025,1,1,1),
       (718,1435,821,1641,1233,417,833,1665,1281,513,1025,1),
       (719,1437,825,1649,1249,449,897,1793,1537,1025,1,1),
       (720,1439,829,1657,1265,481,961,1921,1793,1537,1025,1),
       (721,1441,833,1665,1281,513,1025,1,1,1,1,1),
       (722,1443,837,1673,1297,545,1089,129,257,513,1025,1),
       (723,1445,841,1681,1313,577,1153,257,513,1025,1,1),
       (724,1447,845,1689,1329,609,1217,385,769,1537,1025,1),
       (725,1449,849,1697,1345,641,1281,513,1025,1,1,1),
       (726,1451,853,1705,1361,673,1345,641,1281,513,1025,1),
       (727,1453,857,1713,1377,705,1409,769,1537,1025,1,1),
       (728,1455,861,1721,1393,737,1473,897,1793,1537,1025,1),
       (729,1457,865,1729,1409,769,1537,1025,1,1,1,1),
       (730,1459,869,1737,1425,801,1601,1153,257,513,1025,1),
       (731,1461,873,1745,1441,833,1665,1281,513,1025,1,1),
       (732,1463,877,1753,1457,865,1729,1409,769,1537,1025,1),
       (733,1465,881,1761,1473,897,1793,1537,1025,1,1,1),
       (734,1467,885,1769,1489,929,1857,1665,1281,513,1025,1),
       (735,1469,889,1777,1505,961,1921,1793,1537,1025,1,1),
       (736,1471,893,1785,1521,993,1985,1921,1793,1537,1025,1),
       (737,1473,897,1793,1537,1025,1,1,1,1,1,1),
       (738,1475,901,1801,1553,1057,65,129,257,513,1025,1),
       (739,1477,905,1809,1569,1089,129,257,513,1025,1,1),
       (740,1479,909,1817,1585,1121,193,385,769,1537,1025,1),
       (741,1481,913,1825,1601,1153,257,513,1025,1,1,1),
       (742,1483,917,1833,1617,1185,321,641,1281,513,1025,1),
       (743,1485,921,1841,1633,1217,385,769,1537,1025,1,1),
       (744,1487,925,1849,1649,1249,449,897,1793,1537,1025,1),
       (745,1489,929,1857,1665,1281,513,1025,1,1,1,1),
       (746,1491,933,1865,1681,1313,577,1153,257,513,1025,1),
       (747,1493,937,1873,1697,1345,641,1281,513,1025,1,1),
       (748,1495,941,1881,1713,1377,705,1409,769,1537,1025,1),
       (749,1497,945,1889,1729,1409,769,1537,1025,1,1,1),
       (750,1499,949,1897,1745,1441,833,1665,1281,513,1025,1),
       (751,1501,953,1905,1761,1473,897,1793,1537,1025,1,1),
       (752,1503,957,1913,1777,1505,961,1921,1793,1537,1025,1),
       (753,1505,961,1921,1793,1537,1025,1,1,1,1,1),
       (754,1507,965,1929,1809,1569,1089,129,257,513,1025,1),
       (755,1509,969,1937,1825,1601,1153,257,513,1025,1,1),
       (756,1511,973,1945,1841,1633,1217,385,769,1537,1025,1),
       (757,1513,977,1953,1857,1665,1281,513,1025,1,1,1),
       (758,1515,981,1961,1873,1697,1345,641,1281,513,1025,1),
       (759,1517,985,1969,1889,1729,1409,769,1537,1025,1,1),
       (760,1519,989,1977,1905,1761,1473,897,1793,1537,1025,1),
       (761,1521,993,1985,1921,1793,1537,1025,1,1,1,1),
       (762,1523,997,1993,1937,1825,1601,1153,257,513,1025,1),
       (763,1525,1001,2001,1953,1857,1665,1281,513,1025,1,1),
       (764,1527,1005,2009,1969,1889,1729,1409,769,1537,1025,1),
       (765,1529,1009,2017,1985,1921,1793,1537,1025,1,1,1),
       (766,1531,1013,2025,2001,1953,1857,1665,1281,513,1025,1),
       (767,1533,1017,2033,2017,1985,1921,1793,1537,1025,1,1),
       (768,1535,1021,2041,2033,2017,1985,1921,1793,1537,1025,1),
       (769,1537,1025,1,1,1,1,1,1,1,1,1),
       (770,1539,1029,9,17,33,65,129,257,513,1025,1),
       (771,1541,1033,17,33,65,129,257,513,1025,1,1),
       (772,1543,1037,25,49,97,193,385,769,1537,1025,1),
       (773,1545,1041,33,65,129,257,513,1025,1,1,1),
       (774,1547,1045,41,81,161,321,641,1281,513,1025,1),
       (775,1549,1049,49,97,193,385,769,1537,1025,1,1),
       (776,1551,1053,57,113,225,449,897,1793,1537,1025,1),
       (777,1553,1057,65,129,257,513,1025,1,1,1,1),
       (778,1555,1061,73,145,289,577,1153,257,513,1025,1),
       (779,1557,1065,81,161,321,641,1281,513,1025,1,1),
       (780,1559,1069,89,177,353,705,1409,769,1537,1025,1),
       (781,1561,1073,97,193,385,769,1537,1025,1,1,1),
       (782,1563,1077,105,209,417,833,1665,1281,513,1025,1),
       (783,1565,1081,113,225,449,897,1793,1537,1025,1,1),
       (784,1567,1085,121,241,481,961,1921,1793,1537,1025,1),
       (785,1569,1089,129,257,513,1025,1,1,1,1,1),
       (786,1571,1093,137,273,545,1089,129,257,513,1025,1),
       (787,1573,1097,145,289,577,1153,257,513,1025,1,1),
       (788,1575,1101,153,305,609,1217,385,769,1537,1025,1),
       (789,1577,1105,161,321,641,1281,513,1025,1,1,1),
       (790,1579,1109,169,337,673,1345,641,1281,513,1025,1),
       (791,1581,1113,177,353,705,1409,769,1537,1025,1,1),
       (792,1583,1117,185,369,737,1473,897,1793,1537,1025,1),
       (793,1585,1121,193,385,769,1537,1025,1,1,1,1),
       (794,1587,1125,201,401,801,1601,1153,257,513,1025,1),
       (795,1589,1129,209,417,833,1665,1281,513,1025,1,1),
       (796,1591,1133,217,433,865,1729,1409,769,1537,1025,1),
       (797,1593,1137,225,449,897,1793,1537,1025,1,1,1),
       (798,1595,1141,233,465,929,1857,1665,1281,513,1025,1),
       (799,1597,1145,241,481,961,1921,1793,1537,1025,1,1),
       (800,1599,1149,249,497,993,1985,1921,1793,1537,1025,1),
       (801,1601,1153,257,513,1025,1,1,1,1,1,1),
       (802,1603,1157,265,529,1057,65,129,257,513,1025,1),
       (803,1605,1161,273,545,1089,129,257,513,1025,1,1),
       (804,1607,1165,281,561,1121,193,385,769,1537,1025,1),
       (805,1609,1169,289,577,1153,257,513,1025,1,1,1),
       (806,1611,1173,297,593,1185,321,641,1281,513,1025,1),
       (807,1613,1177,305,609,1217,385,769,1537,1025,1,1),
       (808,1615,1181,313,625,1249,449,897,1793,1537,1025,1),
       (809,1617,1185,321,641,1281,513,1025,1,1,1,1),
       (810,1619,1189,329,657,1313,577,1153,257,513,1025,1),
       (811,1621,1193,337,673,1345,641,1281,513,1025,1,1),
       (812,1623,1197,345,689,1377,705,1409,769,1537,1025,1),
       (813,1625,1201,353,705,1409,769,1537,1025,1,1,1),
       (814,1627,1205,361,721,1441,833,1665,1281,513,1025,1),
       (815,1629,1209,369,737,1473,897,1793,1537,1025,1,1),
       (816,1631,1213,377,753,1505,961,1921,1793,1537,1025,1),
       (817,1633,1217,385,769,1537,1025,1,1,1,1,1),
       (818,1635,1221,393,785,1569,1089,129,257,513,1025,1),
       (819,1637,1225,401,801,1601,1153,257,513,1025,1,1),
       (820,1639,1229,409,817,1633,1217,385,769,1537,1025,1),
       (821,1641,1233,417,833,1665,1281,513,1025,1,1,1),
       (822,1643,1237,425,849,1697,1345,641,1281,513,1025,1),
       (823,1645,1241,433,865,1729,1409,769,1537,1025,1,1),
       (824,1647,1245,441,881,1761,1473,897,1793,1537,1025,1),
       (825,1649,1249,449,897,1793,1537,1025,1,1,1,1),
       (826,1651,1253,457,913,1825,1601,1153,257,513,1025,1),
       (827,1653,1257,465,929,1857,1665,1281,513,1025,1,1),
       (828,1655,1261,473,945,1889,1729,1409,769,1537,1025,1),
       (829,1657,1265,481,961,1921,1793,1537,1025,1,1,1),
       (830,1659,1269,489,977,1953,1857,1665,1281,513,1025,1),
       (831,1661,1273,497,993,1985,1921,1793,1537,1025,1,1),
       (832,1663,1277,505,1009,2017,1985,1921,1793,1537,1025,1),
       (833,1665,1281,513,1025,1,1,1,1,1,1,1),
       (834,1667,1285,521,1041,33,65,129,257,513,1025,1),
       (835,1669,1289,529,1057,65,129,257,513,1025,1,1),
       (836,1671,1293,537,1073,97,193,385,769,1537,1025,1),
       (837,1673,1297,545,1089,129,257,513,1025,1,1,1),
       (838,1675,1301,553,1105,161,321,641,1281,513,1025,1),
       (839,1677,1305,561,1121,193,385,769,1537,1025,1,1),
       (840,1679,1309,569,1137,225,449,897,1793,1537,1025,1),
       (841,1681,1313,577,1153,257,513,1025,1,1,1,1),
       (842,1683,1317,585,1169,289,577,1153,257,513,1025,1),
       (843,1685,1321,593,1185,321,641,1281,513,1025,1,1),
       (844,1687,1325,601,1201,353,705,1409,769,1537,1025,1),
       (845,1689,1329,609,1217,385,769,1537,1025,1,1,1),
       (846,1691,1333,617,1233,417,833,1665,1281,513,1025,1),
       (847,1693,1337,625,1249,449,897,1793,1537,1025,1,1),
       (848,1695,1341,633,1265,481,961,1921,1793,1537,1025,1),
       (849,1697,1345,641,1281,513,1025,1,1,1,1,1),
       (850,1699,1349,649,1297,545,1089,129,257,513,1025,1),
       (851,1701,1353,657,1313,577,1153,257,513,1025,1,1),
       (852,1703,1357,665,1329,609,1217,385,769,1537,1025,1),
       (853,1705,1361,673,1345,641,1281,513,1025,1,1,1),
       (854,1707,1365,681,1361,673,1345,641,1281,513,1025,1),
       (855,1709,1369,689,1377,705,1409,769,1537,1025,1,1),
       (856,1711,1373,697,1393,737,1473,897,1793,1537,1025,1),
       (857,1713,1377,705,1409,769,1537,1025,1,1,1,1),
       (858,1715,1381,713,1425,801,1601,1153,257,513,1025,1),
       (859,1717,1385,721,1441,833,1665,1281,513,1025,1,1),
       (860,1719,1389,729,1457,865,1729,1409,769,1537,1025,1),
       (861,1721,1393,737,1473,897,1793,1537,1025,1,1,1),
       (862,1723,1397,745,1489,929,1857,1665,1281,513,1025,1),
       (863,1725,1401,753,1505,961,1921,1793,1537,1025,1,1),
       (864,1727,1405,761,1521,993,1985,1921,1793,1537,1025,1),
       (865,1729,1409,769,1537,1025,1,1,1,1,1,1),
       (866,1731,1413,777,1553,1057,65,129,257,513,1025,1),
       (867,1733,1417,785,1569,1089,129,257,513,1025,1,1),
       (868,1735,1421,793,1585,1121,193,385,769,1537,1025,1),
       (869,1737,1425,801,1601,1153,257,513,1025,1,1,1),
       (870,1739,1429,809,1617,1185,321,641,1281,513,1025,1),
       (871,1741,1433,817,1633,1217,385,769,1537,1025,1,1),
       (872,1743,1437,825,1649,1249,449,897,1793,1537,1025,1),
       (873,1745,1441,833,1665,1281,513,1025,1,1,1,1),
       (874,1747,1445,841,1681,1313,577,1153,257,513,1025,1),
       (875,1749,1449,849,1697,1345,641,1281,513,1025,1,1),
       (876,1751,1453,857,1713,1377,705,1409,769,1537,1025,1),
       (877,1753,1457,865,1729,1409,769,1537,1025,1,1,1),
       (878,1755,1461,873,1745,1441,833,1665,1281,513,1025,1),
       (879,1757,1465,881,1761,1473,897,1793,1537,1025,1,1),
       (880,1759,1469,889,1777,1505,961,1921,1793,1537,1025,1),
       (881,1761,1473,897,1793,1537,1025,1,1,1,1,1),
       (882,1763,1477,905,1809,1569,1089,129,257,513,1025,1),
       (883,1765,1481,913,1825,1601,1153,257,513,1025,1,1),
       (884,1767,1485,921,1841,1633,1217,385,769,1537,1025,1),
       (885,1769,1489,929,1857,1665,1281,513,1025,1,1,1),
       (886,1771,1493,937,1873,1697,1345,641,1281,513,1025,1),
       (887,1773,1497,945,1889,1729,1409,769,1537,1025,1,1),
       (888,1775,1501,953,1905,1761,1473,897,1793,1537,1025,1),
       (889,1777,1505,961,1921,1793,1537,1025,1,1,1,1),
       (890,1779,1509,969,1937,1825,1601,1153,257,513,1025,1),
       (891,1781,1513,977,1953,1857,1665,1281,513,1025,1,1),
       (892,1783,1517,985,1969,1889,1729,1409,769,1537,1025,1),
       (893,1785,1521,993,1985,1921,1793,1537,1025,1,1,1),
       (894,1787,1525,1001,2001,1953,1857,1665,1281,513,1025,1),
       (895,1789,1529,1009,2017,1985,1921,1793,1537,1025,1,1),
       (896,1791,1533,1017,2033,2017,1985,1921,1793,1537,1025,1),
       (897,1793,1537,1025,1,1,1,1,1,1,1,1),
       (898,1795,1541,1033,17,33,65,129,257,513,1025,1),
       (899,1797,1545,1041,33,65,129,257,513,1025,1,1),
       (900,1799,1549,1049,49,97,193,385,769,1537,1025,1),
       (901,1801,1553,1057,65,129,257,513,1025,1,1,1),
       (902,1803,1557,1065,81,161,321,641,1281,513,1025,1),
       (903,1805,1561,1073,97,193,385,769,1537,1025,1,1),
       (904,1807,1565,1081,113,225,449,897,1793,1537,1025,1),
       (905,1809,1569,1089,129,257,513,1025,1,1,1,1),
       (906,1811,1573,1097,145,289,577,1153,257,513,1025,1),
       (907,1813,1577,1105,161,321,641,1281,513,1025,1,1),
       (908,1815,1581,1113,177,353,705,1409,769,1537,1025,1),
       (909,1817,1585,1121,193,385,769,1537,1025,1,1,1),
       (910,1819,1589,1129,209,417,833,1665,1281,513,1025,1),
       (911,1821,1593,1137,225,449,897,1793,1537,1025,1,1),
       (912,1823,1597,1145,241,481,961,1921,1793,1537,1025,1),
       (913,1825,1601,1153,257,513,1025,1,1,1,1,1),
       (914,1827,1605,1161,273,545,1089,129,257,513,1025,1),
       (915,1829,1609,1169,289,577,1153,257,513,1025,1,1),
       (916,1831,1613,1177,305,609,1217,385,769,1537,1025,1),
       (917,1833,1617,1185,321,641,1281,513,1025,1,1,1),
       (918,1835,1621,1193,337,673,1345,641,1281,513,1025,1),
       (919,1837,1625,1201,353,705,1409,769,1537,1025,1,1),
       (920,1839,1629,1209,369,737,1473,897,1793,1537,1025,1),
       (921,1841,1633,1217,385,769,1537,1025,1,1,1,1),
       (922,1843,1637,1225,401,801,1601,1153,257,513,1025,1),
       (923,1845,1641,1233,417,833,1665,1281,513,1025,1,1),
       (924,1847,1645,1241,433,865,1729,1409,769,1537,1025,1),
       (925,1849,1649,1249,449,897,1793,1537,1025,1,1,1),
       (926,1851,1653,1257,465,929,1857,1665,1281,513,1025,1),
       (927,1853,1657,1265,481,961,1921,1793,1537,1025,1,1),
       (928,1855,1661,1273,497,993,1985,1921,1793,1537,1025,1),
       (929,1857,1665,1281,513,1025,1,1,1,1,1,1),
       (930,1859,1669,1289,529,1057,65,129,257,513,1025,1),
       (931,1861,1673,1297,545,1089,129,257,513,1025,1,1),
       (932,1863,1677,1305,561,1121,193,385,769,1537,1025,1),
       (933,1865,1681,1313,577,1153,257,513,1025,1,1,1),
       (934,1867,1685,1321,593,1185,321,641,1281,513,1025,1),
       (935,1869,1689,1329,609,1217,385,769,1537,1025,1,1),
       (936,1871,1693,1337,625,1249,449,897,1793,1537,1025,1),
       (937,1873,1697,1345,641,1281,513,1025,1,1,1,1),
       (938,1875,1701,1353,657,1313,577,1153,257,513,1025,1),
       (939,1877,1705,1361,673,1345,641,1281,513,1025,1,1),
       (940,1879,1709,1369,689,1377,705,1409,769,1537,1025,1),
       (941,1881,1713,1377,705,1409,769,1537,1025,1,1,1),
       (942,1883,1717,1385,721,1441,833,1665,1281,513,1025,1),
       (943,1885,1721,1393,737,1473,897,1793,1537,1025,1,1),
       (944,1887,1725,1401,753,1505,961,1921,1793,1537,1025,1),
       (945,1889,1729,1409,769,1537,1025,1,1,1,1,1),
       (946,1891,1733,1417,785,1569,1089,129,257,513,1025,1),
       (947,1893,1737,1425,801,1601,1153,257,513,1025,1,1),
       (948,1895,1741,1433,817,1633,1217,385,769,1537,1025,1),
       (949,1897,1745,1441,833,1665,1281,513,1025,1,1,1),
       (950,1899,1749,1449,849,1697,1345,641,1281,513,1025,1),
       (951,1901,1753,1457,865,1729,1409,769,1537,1025,1,1),
       (952,1903,1757,1465,881,1761,1473,897,1793,1537,1025,1),
       (953,1905,1761,1473,897,1793,1537,1025,1,1,1,1),
       (954,1907,1765,1481,913,1825,1601,1153,257,513,1025,1),
       (955,1909,1769,1489,929,1857,1665,1281,513,1025,1,1),
       (956,1911,1773,1497,945,1889,1729,1409,769,1537,1025,1),
       (957,1913,1777,1505,961,1921,1793,1537,1025,1,1,1),
       (958,1915,1781,1513,977,1953,1857,1665,1281,513,1025,1),
       (959,1917,1785,1521,993,1985,1921,1793,1537,1025,1,1),
       (960,1919,1789,1529,1009,2017,1985,1921,1793,1537,1025,1),
       (961,1921,1793,1537,1025,1,1,1,1,1,1,1),
       (962,1923,1797,1545,1041,33,65,129,257,513,1025,1),
       (963,1925,1801,1553,1057,65,129,257,513,1025,1,1),
       (964,1927,1805,1561,1073,97,193,385,769,1537,1025,1),
       (965,1929,1809,1569,1089,129,257,513,1025,1,1,1),
       (966,1931,1813,1577,1105,161,321,641,1281,513,1025,1),
       (967,1933,1817,1585,1121,193,385,769,1537,1025,1,1),
       (968,1935,1821,1593,1137,225,449,897,1793,1537,1025,1),
       (969,1937,1825,1601,1153,257,513,1025,1,1,1,1),
       (970,1939,1829,1609,1169,289,577,1153,257,513,1025,1),
       (971,1941,1833,1617,1185,321,641,1281,513,1025,1,1),
       (972,1943,1837,1625,1201,353,705,1409,769,1537,1025,1),
       (973,1945,1841,1633,1217,385,769,1537,1025,1,1,1),
       (974,1947,1845,1641,1233,417,833,1665,1281,513,1025,1),
       (975,1949,1849,1649,1249,449,897,1793,1537,1025,1,1),
       (976,1951,1853,1657,1265,481,961,1921,1793,1537,1025,1),
       (977,1953,1857,1665,1281,513,1025,1,1,1,1,1),
       (978,1955,1861,1673,1297,545,1089,129,257,513,1025,1),
       (979,1957,1865,1681,1313,577,1153,257,513,1025,1,1),
       (980,1959,1869,1689,1329,609,1217,385,769,1537,1025,1),
       (981,1961,1873,1697,1345,641,1281,513,1025,1,1,1),
       (982,1963,1877,1705,1361,673,1345,641,1281,513,1025,1),
       (983,1965,1881,1713,1377,705,1409,769,1537,1025,1,1),
       (984,1967,1885,1721,1393,737,1473,897,1793,1537,1025,1),
       (985,1969,1889,1729,1409,769,1537,1025,1,1,1,1),
       (986,1971,1893,1737,1425,801,1601,1153,257,513,1025,1),
       (987,1973,1897,1745,1441,833,1665,1281,513,1025,1,1),
       (988,1975,1901,1753,1457,865,1729,1409,769,1537,1025,1),
       (989,1977,1905,1761,1473,897,1793,1537,1025,1,1,1),
       (990,1979,1909,1769,1489,929,1857,1665,1281,513,1025,1),
       (991,1981,1913,1777,1505,961,1921,1793,1537,1025,1,1),
       (992,1983,1917,1785,1521,993,1985,1921,1793,1537,1025,1),
       (993,1985,1921,1793,1537,1025,1,1,1,1,1,1),
       (994,1987,1925,1801,1553,1057,65,129,257,513,1025,1),
       (995,1989,1929,1809,1569,1089,129,257,513,1025,1,1),
       (996,1991,1933,1817,1585,1121,193,385,769,1537,1025,1),
       (997,1993,1937,1825,1601,1153,257,513,1025,1,1,1),
       (998,1995,1941,1833,1617,1185,321,641,1281,513,1025,1),
       (999,1997,1945,1841,1633,1217,385,769,1537,1025,1,1),
       (1000,1999,1949,1849,1649,1249,449,897,1793,1537,1025,1),
       (1001,2001,1953,1857,1665,1281,513,1025,1,1,1,1),
       (1002,2003,1957,1865,1681,1313,577,1153,257,513,1025,1),
       (1003,2005,1961,1873,1697,1345,641,1281,513,1025,1,1),
       (1004,2007,1965,1881,1713,1377,705,1409,769,1537,1025,1),
       (1005,2009,1969,1889,1729,1409,769,1537,1025,1,1,1),
       (1006,2011,1973,1897,1745,1441,833,1665,1281,513,1025,1),
       (1007,2013,1977,1905,1761,1473,897,1793,1537,1025,1,1),
       (1008,2015,1981,1913,1777,1505,961,1921,1793,1537,1025,1),
       (1009,2017,1985,1921,1793,1537,1025,1,1,1,1,1),
       (1010,2019,1989,1929,1809,1569,1089,129,257,513,1025,1),
       (1011,2021,1993,1937,1825,1601,1153,257,513,1025,1,1),
       (1012,2023,1997,1945,1841,1633,1217,385,769,1537,1025,1),
       (1013,2025,2001,1953,1857,1665,1281,513,1025,1,1,1),
       (1014,2027,2005,1961,1873,1697,1345,641,1281,513,1025,1),
       (1015,2029,2009,1969,1889,1729,1409,769,1537,1025,1,1),
       (1016,2031,2013,1977,1905,1761,1473,897,1793,1537,1025,1),
       (1017,2033,2017,1985,1921,1793,1537,1025,1,1,1,1),
       (1018,2035,2021,1993,1937,1825,1601,1153,257,513,1025,1),
       (1019,2037,2025,2001,1953,1857,1665,1281,513,1025,1,1),
       (1020,2039,2029,2009,1969,1889,1729,1409,769,1537,1025,1),
       (1021,2041,2033,2017,1985,1921,1793,1537,1025,1,1,1),
       (1022,2043,2037,2025,2001,1953,1857,1665,1281,513,1025,1),
       (1023,2045,2041,2033,2017,1985,1921,1793,1537,1025,1,1),
       (1024,2047,2045,2041,2033,2017,1985,1921,1793,1537,1025,1),
       (1025,1,1,1,1,1,1,1,1,1,1,1),
       (1026,3,5,9,17,33,65,129,257,513,1025,1),
       (1027,5,9,17,33,65,129,257,513,1025,1,1),
       (1028,7,13,25,49,97,193,385,769,1537,1025,1),
       (1029,9,17,33,65,129,257,513,1025,1,1,1),
       (1030,11,21,41,81,161,321,641,1281,513,1025,1),
       (1031,13,25,49,97,193,385,769,1537,1025,1,1),
       (1032,15,29,57,113,225,449,897,1793,1537,1025,1),
       (1033,17,33,65,129,257,513,1025,1,1,1,1),
       (1034,19,37,73,145,289,577,1153,257,513,1025,1),
       (1035,21,41,81,161,321,641,1281,513,1025,1,1),
       (1036,23,45,89,177,353,705,1409,769,1537,1025,1),
       (1037,25,49,97,193,385,769,1537,1025,1,1,1),
       (1038,27,53,105,209,417,833,1665,1281,513,1025,1),
       (1039,29,57,113,225,449,897,1793,1537,1025,1,1),
       (1040,31,61,121,241,481,961,1921,1793,1537,1025,1),
       (1041,33,65,129,257,513,1025,1,1,1,1,1),
       (1042,35,69,137,273,545,1089,129,257,513,1025,1),
       (1043,37,73,145,289,577,1153,257,513,1025,1,1),
       (1044,39,77,153,305,609,1217,385,769,1537,1025,1),
       (1045,41,81,161,321,641,1281,513,1025,1,1,1),
       (1046,43,85,169,337,673,1345,641,1281,513,1025,1),
       (1047,45,89,177,353,705,1409,769,1537,1025,1,1),
       (1048,47,93,185,369,737,1473,897,1793,1537,1025,1),
       (1049,49,97,193,385,769,1537,1025,1,1,1,1),
       (1050,51,101,201,401,801,1601,1153,257,513,1025,1),
       (1051,53,105,209,417,833,1665,1281,513,1025,1,1),
       (1052,55,109,217,433,865,1729,1409,769,1537,1025,1),
       (1053,57,113,225,449,897,1793,1537,1025,1,1,1),
       (1054,59,117,233,465,929,1857,1665,1281,513,1025,1),
       (1055,61,121,241,481,961,1921,1793,1537,1025,1,1),
       (1056,63,125,249,497,993,1985,1921,1793,1537,1025,1),
       (1057,65,129,257,513,1025,1,1,1,1,1,1),
       (1058,67,133,265,529,1057,65,129,257,513,1025,1),
       (1059,69,137,273,545,1089,129,257,513,1025,1,1),
       (1060,71,141,281,561,1121,193,385,769,1537,1025,1),
       (1061,73,145,289,577,1153,257,513,1025,1,1,1),
       (1062,75,149,297,593,1185,321,641,1281,513,1025,1),
       (1063,77,153,305,609,1217,385,769,1537,1025,1,1),
       (1064,79,157,313,625,1249,449,897,1793,1537,1025,1),
       (1065,81,161,321,641,1281,513,1025,1,1,1,1),
       (1066,83,165,329,657,1313,577,1153,257,513,1025,1),
       (1067,85,169,337,673,1345,641,1281,513,1025,1,1),
       (1068,87,173,345,689,1377,705,1409,769,1537,1025,1),
       (1069,89,177,353,705,1409,769,1537,1025,1,1,1),
       (1070,91,181,361,721,1441,833,1665,1281,513,1025,1),
       (1071,93,185,369,737,1473,897,1793,1537,1025,1,1),
       (1072,95,189,377,753,1505,961,1921,1793,1537,1025,1),
       (1073,97,193,385,769,1537,1025,1,1,1,1,1),
       (1074,99,197,393,785,1569,1089,129,257,513,1025,1),
       (1075,101,201,401,801,1601,1153,257,513,1025,1,1),
       (1076,103,205,409,817,1633,1217,385,769,1537,1025,1),
       (1077,105,209,417,833,1665,1281,513,1025,1,1,1),
       (1078,107,213,425,849,1697,1345,641,1281,513,1025,1),
       (1079,109,217,433,865,1729,1409,769,1537,1025,1,1),
       (1080,111,221,441,881,1761,1473,897,1793,1537,1025,1),
       (1081,113,225,449,897,1793,1537,1025,1,1,1,1),
       (1082,115,229,457,913,1825,1601,1153,257,513,1025,1),
       (1083,117,233,465,929,1857,1665,1281,513,1025,1,1),
       (1084,119,237,473,945,1889,1729,1409,769,1537,1025,1),
       (1085,121,241,481,961,1921,1793,1537,1025,1,1,1),
       (1086,123,245,489,977,1953,1857,1665,1281,513,1025,1),
       (1087,125,249,497,993,1985,1921,1793,1537,1025,1,1),
       (1088,127,253,505,1009,2017,1985,1921,1793,1537,1025,1),
       (1089,129,257,513,1025,1,1,1,1,1,1,1),
       (1090,131,261,521,1041,33,65,129,257,513,1025,1),
       (1091,133,265,529,1057,65,129,257,513,1025,1,1),
       (1092,135,269,537,1073,97,193,385,769,1537,1025,1),
       (1093,137,273,545,1089,129,257,513,1025,1,1,1),
       (1094,139,277,553,1105,161,321,641,1281,513,1025,1),
       (1095,141,281,561,1121,193,385,769,1537,1025,1,1),
       (1096,143,285,569,1137,225,449,897,1793,1537,1025,1),
       (1097,145,289,577,1153,257,513,1025,1,1,1,1),
       (1098,147,293,585,1169,289,577,1153,257,513,1025,1),
       (1099,149,297,593,1185,321,641,1281,513,1025,1,1),
       (1100,151,301,601,1201,353,705,1409,769,1537,1025,1),
       (1101,153,305,609,1217,385,769,1537,1025,1,1,1),
       (1102,155,309,617,1233,417,833,1665,1281,513,1025,1),
       (1103,157,313,625,1249,449,897,1793,1537,1025,1,1),
       (1104,159,317,633,1265,481,961,1921,1793,1537,1025,1),
       (1105,161,321,641,1281,513,1025,1,1,1,1,1),
       (1106,163,325,649,1297,545,1089,129,257,513,1025,1),
       (1107,165,329,657,1313,577,1153,257,513,1025,1,1),
       (1108,167,333,665,1329,609,1217,385,769,1537,1025,1),
       (1109,169,337,673,1345,641,1281,513,1025,1,1,1),
       (1110,171,341,681,1361,673,1345,641,1281,513,1025,1),
       (1111,173,345,689,1377,705,1409,769,1537,1025,1,1),
       (1112,175,349,697,1393,737,1473,897,1793,1537,1025,1),
       (1113,177,353,705,1409,769,1537,1025,1,1,1,1),
       (1114,179,357,713,1425,801,1601,1153,257,513,1025,1),
       (1115,181,361,721,1441,833,1665,1281,513,1025,1,1),
       (1116,183,365,729,1457,865,1729,1409,769,1537,1025,1),
       (1117,185,369,737,1473,897,1793,1537,1025,1,1,1),
       (1118,187,373,745,1489,929,1857,1665,1281,513,1025,1),
       (1119,189,377,753,1505,961,1921,1793,1537,1025,1,1),
       (1120,191,381,761,1521,993,1985,1921,1793,1537,1025,1),
       (1121,193,385,769,1537,1025,1,1,1,1,1,1),
       (1122,195,389,777,1553,1057,65,129,257,513,1025,1),
       (1123,197,393,785,1569,1089,129,257,513,1025,1,1),
       (1124,199,397,793,1585,1121,193,385,769,1537,1025,1),
       (1125,201,401,801,1601,1153,257,513,1025,1,1,1),
       (1126,203,405,809,1617,1185,321,641,1281,513,1025,1),
       (1127,205,409,817,1633,1217,385,769,1537,1025,1,1),
       (1128,207,413,825,1649,1249,449,897,1793,1537,1025,1),
       (1129,209,417,833,1665,1281,513,1025,1,1,1,1),
       (1130,211,421,841,1681,1313,577,1153,257,513,1025,1),
       (1131,213,425,849,1697,1345,641,1281,513,1025,1,1),
       (1132,215,429,857,1713,1377,705,1409,769,1537,1025,1),
       (1133,217,433,865,1729,1409,769,1537,1025,1,1,1),
       (1134,219,437,873,1745,1441,833,1665,1281,513,1025,1),
       (1135,221,441,881,1761,1473,897,1793,1537,1025,1,1),
       (1136,223,445,889,1777,1505,961,1921,1793,1537,1025,1),
       (1137,225,449,897,1793,1537,1025,1,1,1,1,1),
       (1138,227,453,905,1809,1569,1089,129,257,513,1025,1),
       (1139,229,457,913,1825,1601,1153,257,513,1025,1,1),
       (1140,231,461,921,1841,1633,1217,385,769,1537,1025,1),
       (1141,233,465,929,1857,1665,1281,513,1025,1,1,1),
       (1142,235,469,937,1873,1697,1345,641,1281,513,1025,1),
       (1143,237,473,945,1889,1729,1409,769,1537,1025,1,1),
       (1144,239,477,953,1905,1761,1473,897,1793,1537,1025,1),
       (1145,241,481,961,1921,1793,1537,1025,1,1,1,1),
       (1146,243,485,969,1937,1825,1601,1153,257,513,1025,1),
       (1147,245,489,977,1953,1857,1665,1281,513,1025,1,1),
       (1148,247,493,985,1969,1889,1729,1409,769,1537,1025,1),
       (1149,249,497,993,1985,1921,1793,1537,1025,1,1,1),
       (1150,251,501,1001,2001,1953,1857,1665,1281,513,1025,1),
       (1151,253,505,1009,2017,1985,1921,1793,1537,1025,1,1),
       (1152,255,509,1017,2033,2017,1985,1921,1793,1537,1025,1),
       (1153,257,513,1025,1,1,1,1,1,1,1,1),
       (1154,259,517,1033,17,33,65,129,257,513,1025,1),
       (1155,261,521,1041,33,65,129,257,513,1025,1,1),
       (1156,263,525,1049,49,97,193,385,769,1537,1025,1),
       (1157,265,529,1057,65,129,257,513,1025,1,1,1),
       (1158,267,533,1065,81,161,321,641,1281,513,1025,1),
       (1159,269,537,1073,97,193,385,769,1537,1025,1,1),
       (1160,271,541,1081,113,225,449,897,1793,1537,1025,1),
       (1161,273,545,1089,129,257,513,1025,1,1,1,1),
       (1162,275,549,1097,145,289,577,1153,257,513,1025,1),
       (1163,277,553,1105,161,321,641,1281,513,1025,1,1),
       (1164,279,557,1113,177,353,705,1409,769,1537,1025,1),
       (1165,281,561,1121,193,385,769,1537,1025,1,1,1),
       (1166,283,565,1129,209,417,833,1665,1281,513,1025,1),
       (1167,285,569,1137,225,449,897,1793,1537,1025,1,1),
       (1168,287,573,1145,241,481,961,1921,1793,1537,1025,1),
       (1169,289,577,1153,257,513,1025,1,1,1,1,1),
       (1170,291,581,1161,273,545,1089,129,257,513,1025,1),
       (1171,293,585,1169,289,577,1153,257,513,1025,1,1),
       (1172,295,589,1177,305,609,1217,385,769,1537,1025,1),
       (1173,297,593,1185,321,641,1281,513,1025,1,1,1),
       (1174,299,597,1193,337,673,1345,641,1281,513,1025,1),
       (1175,301,601,1201,353,705,1409,769,1537,1025,1,1),
       (1176,303,605,1209,369,737,1473,897,1793,1537,1025,1),
       (1177,305,609,1217,385,769,1537,1025,1,1,1,1),
       (1178,307,613,1225,401,801,1601,1153,257,513,1025,1),
       (1179,309,617,1233,417,833,1665,1281,513,1025,1,1),
       (1180,311,621,1241,433,865,1729,1409,769,1537,1025,1),
       (1181,313,625,1249,449,897,1793,1537,1025,1,1,1),
       (1182,315,629,1257,465,929,1857,1665,1281,513,1025,1),
       (1183,317,633,1265,481,961,1921,1793,1537,1025,1,1),
       (1184,319,637,1273,497,993,1985,1921,1793,1537,1025,1),
       (1185,321,641,1281,513,1025,1,1,1,1,1,1),
       (1186,323,645,1289,529,1057,65,129,257,513,1025,1),
       (1187,325,649,1297,545,1089,129,257,513,1025,1,1),
       (1188,327,653,1305,561,1121,193,385,769,1537,1025,1),
       (1189,329,657,1313,577,1153,257,513,1025,1,1,1),
       (1190,331,661,1321,593,1185,321,641,1281,513,1025,1),
       (1191,333,665,1329,609,1217,385,769,1537,1025,1,1),
       (1192,335,669,1337,625,1249,449,897,1793,1537,1025,1),
       (1193,337,673,1345,641,1281,513,1025,1,1,1,1),
       (1194,339,677,1353,657,1313,577,1153,257,513,1025,1),
       (1195,341,681,1361,673,1345,641,1281,513,1025,1,1),
       (1196,343,685,1369,689,1377,705,1409,769,1537,1025,1),
       (1197,345,689,1377,705,1409,769,1537,1025,1,1,1),
       (1198,347,693,1385,721,1441,833,1665,1281,513,1025,1),
       (1199,349,697,1393,737,1473,897,1793,1537,1025,1,1),
       (1200,351,701,1401,753,1505,961,1921,1793,1537,1025,1),
       (1201,353,705,1409,769,1537,1025,1,1,1,1,1),
       (1202,355,709,1417,785,1569,1089,129,257,513,1025,1),
       (1203,357,713,1425,801,1601,1153,257,513,1025,1,1),
       (1204,359,717,1433,817,1633,1217,385,769,1537,1025,1),
       (1205,361,721,1441,833,1665,1281,513,1025,1,1,1),
       (1206,363,725,1449,849,1697,1345,641,1281,513,1025,1),
       (1207,365,729,1457,865,1729,1409,769,1537,1025,1,1),
       (1208,367,733,1465,881,1761,1473,897,1793,1537,1025,1),
       (1209,369,737,1473,897,1793,1537,1025,1,1,1,1),
       (1210,371,741,1481,913,1825,1601,1153,257,513,1025,1),
       (1211,373,745,1489,929,1857,1665,1281,513,1025,1,1),
       (1212,375,749,1497,945,1889,1729,1409,769,1537,1025,1),
       (1213,377,753,1505,961,1921,1793,1537,1025,1,1,1),
       (1214,379,757,1513,977,1953,1857,1665,1281,513,1025,1),
       (1215,381,761,1521,993,1985,1921,1793,1537,1025,1,1),
       (1216,383,765,1529,1009,2017,1985,1921,1793,1537,1025,1),
       (1217,385,769,1537,1025,1,1,1,1,1,1,1),
       (1218,387,773,1545,1041,33,65,129,257,513,1025,1),
       (1219,389,777,1553,1057,65,129,257,513,1025,1,1),
       (1220,391,781,1561,1073,97,193,385,769,1537,1025,1),
       (1221,393,785,1569,1089,129,257,513,1025,1,1,1),
       (1222,395,789,1577,1105,161,321,641,1281,513,1025,1),
       (1223,397,793,1585,1121,193,385,769,1537,1025,1,1),
       (1224,399,797,1593,1137,225,449,897,1793,1537,1025,1),
       (1225,401,801,1601,1153,257,513,1025,1,1,1,1),
       (1226,403,805,1609,1169,289,577,1153,257,513,1025,1),
       (1227,405,809,1617,1185,321,641,1281,513,1025,1,1),
       (1228,407,813,1625,1201,353,705,1409,769,1537,1025,1),
       (1229,409,817,1633,1217,385,769,1537,1025,1,1,1),
       (1230,411,821,1641,1233,417,833,1665,1281,513,1025,1),
       (1231,413,825,1649,1249,449,897,1793,1537,1025,1,1),
       (1232,415,829,1657,1265,481,961,1921,1793,1537,1025,1),
       (1233,417,833,1665,1281,513,1025,1,1,1,1,1),
       (1234,419,837,1673,1297,545,1089,129,257,513,1025,1),
       (1235,421,841,1681,1313,577,1153,257,513,1025,1,1),
       (1236,423,845,1689,1329,609,1217,385,769,1537,1025,1),
       (1237,425,849,1697,1345,641,1281,513,1025,1,1,1),
       (1238,427,853,1705,1361,673,1345,641,1281,513,1025,1),
       (1239,429,857,1713,1377,705,1409,769,1537,1025,1,1),
       (1240,431,861,1721,1393,737,1473,897,1793,1537,1025,1),
       (1241,433,865,1729,1409,769,1537,1025,1,1,1,1),
       (1242,435,869,1737,1425,801,1601,1153,257,513,1025,1),
       (1243,437,873,1745,1441,833,1665,1281,513,1025,1,1),
       (1244,439,877,1753,1457,865,1729,1409,769,1537,1025,1),
       (1245,441,881,1761,1473,897,1793,1537,1025,1,1,1),
       (1246,443,885,1769,1489,929,1857,1665,1281,513,1025,1),
       (1247,445,889,1777,1505,961,1921,1793,1537,1025,1,1),
       (1248,447,893,1785,1521,993,1985,1921,1793,1537,1025,1),
       (1249,449,897,1793,1537,1025,1,1,1,1,1,1),
       (1250,451,901,1801,1553,1057,65,129,257,513,1025,1),
       (1251,453,905,1809,1569,1089,129,257,513,1025,1,1),
       (1252,455,909,1817,1585,1121,193,385,769,1537,1025,1),
       (1253,457,913,1825,1601,1153,257,513,1025,1,1,1),
       (1254,459,917,1833,1617,1185,321,641,1281,513,1025,1),
       (1255,461,921,1841,1633,1217,385,769,1537,1025,1,1),
       (1256,463,925,1849,1649,1249,449,897,1793,1537,1025,1),
       (1257,465,929,1857,1665,1281,513,1025,1,1,1,1),
       (1258,467,933,1865,1681,1313,577,1153,257,513,1025,1),
       (1259,469,937,1873,1697,1345,641,1281,513,1025,1,1),
       (1260,471,941,1881,1713,1377,705,1409,769,1537,1025,1),
       (1261,473,945,1889,1729,1409,769,1537,1025,1,1,1),
       (1262,475,949,1897,1745,1441,833,1665,1281,513,1025,1),
       (1263,477,953,1905,1761,1473,897,1793,1537,1025,1,1),
       (1264,479,957,1913,1777,1505,961,1921,1793,1537,1025,1),
       (1265,481,961,1921,1793,1537,1025,1,1,1,1,1),
       (1266,483,965,1929,1809,1569,1089,129,257,513,1025,1),
       (1267,485,969,1937,1825,1601,1153,257,513,1025,1,1),
       (1268,487,973,1945,1841,1633,1217,385,769,1537,1025,1),
       (1269,489,977,1953,1857,1665,1281,513,1025,1,1,1),
       (1270,491,981,1961,1873,1697,1345,641,1281,513,1025,1),
       (1271,493,985,1969,1889,1729,1409,769,1537,1025,1,1),
       (1272,495,989,1977,1905,1761,1473,897,1793,1537,1025,1),
       (1273,497,993,1985,1921,1793,1537,1025,1,1,1,1),
       (1274,499,997,1993,1937,1825,1601,1153,257,513,1025,1),
       (1275,501,1001,2001,1953,1857,1665,1281,513,1025,1,1),
       (1276,503,1005,2009,1969,1889,1729,1409,769,1537,1025,1),
       (1277,505,1009,2017,1985,1921,1793,1537,1025,1,1,1),
       (1278,507,1013,2025,2001,1953,1857,1665,1281,513,1025,1),
       (1279,509,1017,2033,2017,1985,1921,1793,1537,1025,1,1),
       (1280,511,1021,2041,2033,2017,1985,1921,1793,1537,1025,1),
       (1281,513,1025,1,1,1,1,1,1,1,1,1),
       (1282,515,1029,9,17,33,65,129,257,513,1025,1),
       (1283,517,1033,17,33,65,129,257,513,1025,1,1),
       (1284,519,1037,25,49,97,193,385,769,1537,1025,1),
       (1285,521,1041,33,65,129,257,513,1025,1,1,1),
       (1286,523,1045,41,81,161,321,641,1281,513,1025,1),
       (1287,525,1049,49,97,193,385,769,1537,1025,1,1),
       (1288,527,1053,57,113,225,449,897,1793,1537,1025,1),
       (1289,529,1057,65,129,257,513,1025,1,1,1,1),
       (1290,531,1061,73,145,289,577,1153,257,513,1025,1),
       (1291,533,1065,81,161,321,641,1281,513,1025,1,1),
       (1292,535,1069,89,177,353,705,1409,769,1537,1025,1),
       (1293,537,1073,97,193,385,769,1537,1025,1,1,1),
       (1294,539,1077,105,209,417,833,1665,1281,513,1025,1),
       (1295,541,1081,113,225,449,897,1793,1537,1025,1,1),
       (1296,543,1085,121,241,481,961,1921,1793,1537,1025,1),
       (1297,545,1089,129,257,513,1025,1,1,1,1,1),
       (1298,547,1093,137,273,545,1089,129,257,513,1025,1),
       (1299,549,1097,145,289,577,1153,257,513,1025,1,1),
       (1300,551,1101,153,305,609,1217,385,769,1537,1025,1),
       (1301,553,1105,161,321,641,1281,513,1025,1,1,1),
       (1302,555,1109,169,337,673,1345,641,1281,513,1025,1),
       (1303,557,1113,177,353,705,1409,769,1537,1025,1,1),
       (1304,559,1117,185,369,737,1473,897,1793,1537,1025,1),
       (1305,561,1121,193,385,769,1537,1025,1,1,1,1),
       (1306,563,1125,201,401,801,1601,1153,257,513,1025,1),
       (1307,565,1129,209,417,833,1665,1281,513,1025,1,1),
       (1308,567,1133,217,433,865,1729,1409,769,1537,1025,1),
       (1309,569,1137,225,449,897,1793,1537,1025,1,1,1),
       (1310,571,1141,233,465,929,1857,1665,1281,513,1025,1),
       (1311,573,1145,241,481,961,1921,1793,1537,1025,1,1),
       (1312,575,1149,249,497,993,1985,1921,1793,1537,1025,1),
       (1313,577,1153,257,513,1025,1,1,1,1,1,1),
       (1314,579,1157,265,529,1057,65,129,257,513,1025,1),
       (1315,581,1161,273,545,1089,129,257,513,1025,1,1),
       (1316,583,1165,281,561,1121,193,385,769,1537,1025,1),
       (1317,585,1169,289,577,1153,257,513,1025,1,1,1),
       (1318,587,1173,297,593,1185,321,641,1281,513,1025,1),
       (1319,589,1177,305,609,1217,385,769,1537,1025,1,1),
       (1320,591,1181,313,625,1249,449,897,1793,1537,1025,1),
       (1321,593,1185,321,641,1281,513,1025,1,1,1,1),
       (1322,595,1189,329,657,1313,577,1153,257,513,1025,1),
       (1323,597,1193,337,673,1345,641,1281,513,1025,1,1),
       (1324,599,1197,345,689,1377,705,1409,769,1537,1025,1),
       (1325,601,1201,353,705,1409,769,1537,1025,1,1,1),
       (1326,603,1205,361,721,1441,833,1665,1281,513,1025,1),
       (1327,605,1209,369,737,1473,897,1793,1537,1025,1,1),
       (1328,607,1213,377,753,1505,961,1921,1793,1537,1025,1),
       (1329,609,1217,385,769,1537,1025,1,1,1,1,1),
       (1330,611,1221,393,785,1569,1089,129,257,513,1025,1),
       (1331,613,1225,401,801,1601,1153,257,513,1025,1,1),
       (1332,615,1229,409,817,1633,1217,385,769,1537,1025,1),
       (1333,617,1233,417,833,1665,1281,513,1025,1,1,1),
       (1334,619,1237,425,849,1697,1345,641,1281,513,1025,1),
       (1335,621,1241,433,865,1729,1409,769,1537,1025,1,1),
       (1336,623,1245,441,881,1761,1473,897,1793,1537,1025,1),
       (1337,625,1249,449,897,1793,1537,1025,1,1,1,1),
       (1338,627,1253,457,913,1825,1601,1153,257,513,1025,1),
       (1339,629,1257,465,929,1857,1665,1281,513,1025,1,1),
       (1340,631,1261,473,945,1889,1729,1409,769,1537,1025,1),
       (1341,633,1265,481,961,1921,1793,1537,1025,1,1,1),
       (1342,635,1269,489,977,1953,1857,1665,1281,513,1025,1),
       (1343,637,1273,497,993,1985,1921,1793,1537,1025,1,1),
       (1344,639,1277,505,1009,2017,1985,1921,1793,1537,1025,1),
       (1345,641,1281,513,1025,1,1,1,1,1,1,1),
       (1346,643,1285,521,1041,33,65,129,257,513,1025,1),
       (1347,645,1289,529,1057,65,129,257,513,1025,1,1),
       (1348,647,1293,537,1073,97,193,385,769,1537,1025,1),
       (1349,649,1297,545,1089,129,257,513,1025,1,1,1),
       (1350,651,1301,553,1105,161,321,641,1281,513,1025,1),
       (1351,653,1305,561,1121,193,385,769,1537,1025,1,1),
       (1352,655,1309,569,1137,225,449,897,1793,1537,1025,1),
       (1353,657,1313,577,1153,257,513,1025,1,1,1,1),
       (1354,659,1317,585,1169,289,577,1153,257,513,1025,1),
       (1355,661,1321,593,1185,321,641,1281,513,1025,1,1),
       (1356,663,1325,601,1201,353,705,1409,769,1537,1025,1),
       (1357,665,1329,609,1217,385,769,1537,1025,1,1,1),
       (1358,667,1333,617,1233,417,833,1665,1281,513,1025,1),
       (1359,669,1337,625,1249,449,897,1793,1537,1025,1,1),
       (1360,671,1341,633,1265,481,961,1921,1793,1537,1025,1),
       (1361,673,1345,641,1281,513,1025,1,1,1,1,1),
       (1362,675,1349,649,1297,545,1089,129,257,513,1025,1),
       (1363,677,1353,657,1313,577,1153,257,513,1025,1,1),
       (1364,679,1357,665,1329,609,1217,385,769,1537,1025,1),
       (1365,681,1361,673,1345,641,1281,513,1025,1,1,1),
       (1366,683,1365,681,1361,673,1345,641,1281,513,1025,1),
       (1367,685,1369,689,1377,705,1409,769,1537,1025,1,1),
       (1368,687,1373,697,1393,737,1473,897,1793,1537,1025,1),
       (1369,689,1377,705,1409,769,1537,1025,1,1,1,1),
       (1370,691,1381,713,1425,801,1601,1153,257,513,1025,1),
       (1371,693,1385,721,1441,833,1665,1281,513,1025,1,1),
       (1372,695,1389,729,1457,865,1729,1409,769,1537,1025,1),
       (1373,697,1393,737,1473,897,1793,1537,1025,1,1,1),
       (1374,699,1397,745,1489,929,1857,1665,1281,513,1025,1),
       (1375,701,1401,753,1505,961,1921,1793,1537,1025,1,1),
       (1376,703,1405,761,1521,993,1985,1921,1793,1537,1025,1),
       (1377,705,1409,769,1537,1025,1,1,1,1,1,1),
       (1378,707,1413,777,1553,1057,65,129,257,513,1025,1),
       (1379,709,1417,785,1569,1089,129,257,513,1025,1,1),
       (1380,711,1421,793,1585,1121,193,385,769,1537,1025,1),
       (1381,713,1425,801,1601,1153,257,513,1025,1,1,1),
       (1382,715,1429,809,1617,1185,321,641,1281,513,1025,1),
       (1383,717,1433,817,1633,1217,385,769,1537,1025,1,1),
       (1384,719,1437,825,1649,1249,449,897,1793,1537,1025,1),
       (1385,721,1441,833,1665,1281,513,1025,1,1,1,1),
       (1386,723,1445,841,1681,1313,577,1153,257,513,1025,1),
       (1387,725,1449,849,1697,1345,641,1281,513,1025,1,1),
       (1388,727,1453,857,1713,1377,705,1409,769,1537,1025,1),
       (1389,729,1457,865,1729,1409,769,1537,1025,1,1,1),
       (1390,731,1461,873,1745,1441,833,1665,1281,513,1025,1),
       (1391,733,1465,881,1761,1473,897,1793,1537,1025,1,1),
       (1392,735,1469,889,1777,1505,961,1921,1793,1537,1025,1),
       (1393,737,1473,897,1793,1537,1025,1,1,1,1,1),
       (1394,739,1477,905,1809,1569,1089,129,257,513,1025,1),
       (1395,741,1481,913,1825,1601,1153,257,513,1025,1,1),
       (1396,743,1485,921,1841,1633,1217,385,769,1537,1025,1),
       (1397,745,1489,929,1857,1665,1281,513,1025,1,1,1),
       (1398,747,1493,937,1873,1697,1345,641,1281,513,1025,1),
       (1399,749,1497,945,1889,1729,1409,769,1537,1025,1,1),
       (1400,751,1501,953,1905,1761,1473,897,1793,1537,1025,1),
       (1401,753,1505,961,1921,1793,1537,1025,1,1,1,1),
       (1402,755,1509,969,1937,1825,1601,1153,257,513,1025,1),
       (1403,757,1513,977,1953,1857,1665,1281,513,1025,1,1),
       (1404,759,1517,985,1969,1889,1729,1409,769,1537,1025,1),
       (1405,761,1521,993,1985,1921,1793,1537,1025,1,1,1),
       (1406,763,1525,1001,2001,1953,1857,1665,1281,513,1025,1),
       (1407,765,1529,1009,2017,1985,1921,1793,1537,1025,1,1),
       (1408,767,1533,1017,2033,2017,1985,1921,1793,1537,1025,1),
       (1409,769,1537,1025,1,1,1,1,1,1,1,1),
       (1410,771,1541,1033,17,33,65,129,257,513,1025,1),
       (1411,773,1545,1041,33,65,129,257,513,1025,1,1),
       (1412,775,1549,1049,49,97,193,385,769,1537,1025,1),
       (1413,777,1553,1057,65,129,257,513,1025,1,1,1),
       (1414,779,1557,1065,81,161,321,641,1281,513,1025,1),
       (1415,781,1561,1073,97,193,385,769,1537,1025,1,1),
       (1416,783,1565,1081,113,225,449,897,1793,1537,1025,1),
       (1417,785,1569,1089,129,257,513,1025,1,1,1,1),
       (1418,787,1573,1097,145,289,577,1153,257,513,1025,1),
       (1419,789,1577,1105,161,321,641,1281,513,1025,1,1),
       (1420,791,1581,1113,177,353,705,1409,769,1537,1025,1),
       (1421,793,1585,1121,193,385,769,1537,1025,1,1,1),
       (1422,795,1589,1129,209,417,833,1665,1281,513,1025,1),
       (1423,797,1593,1137,225,449,897,1793,1537,1025,1,1),
       (1424,799,1597,1145,241,481,961,1921,1793,1537,1025,1),
       (1425,801,1601,1153,257,513,1025,1,1,1,1,1),
       (1426,803,1605,1161,273,545,1089,129,257,513,1025,1),
       (1427,805,1609,1169,289,577,1153,257,513,1025,1,1),
       (1428,807,1613,1177,305,609,1217,385,769,1537,1025,1),
       (1429,809,1617,1185,321,641,1281,513,1025,1,1,1),
       (1430,811,1621,1193,337,673,1345,641,1281,513,1025,1),
       (1431,813,1625,1201,353,705,1409,769,1537,1025,1,1),
       (1432,815,1629,1209,369,737,1473,897,1793,1537,1025,1),
       (1433,817,1633,1217,385,769,1537,1025,1,1,1,1),
       (1434,819,1637,1225,401,801,1601,1153,257,513,1025,1),
       (1435,821,1641,1233,417,833,1665,1281,513,1025,1,1),
       (1436,823,1645,1241,433,865,1729,1409,769,1537,1025,1),
       (1437,825,1649,1249,449,897,1793,1537,1025,1,1,1),
       (1438,827,1653,1257,465,929,1857,1665,1281,513,1025,1),
       (1439,829,1657,1265,481,961,1921,1793,1537,1025,1,1),
       (1440,831,1661,1273,497,993,1985,1921,1793,1537,1025,1),
       (1441,833,1665,1281,513,1025,1,1,1,1,1,1),
       (1442,835,1669,1289,529,1057,65,129,257,513,1025,1),
       (1443,837,1673,1297,545,1089,129,257,513,1025,1,1),
       (1444,839,1677,1305,561,1121,193,385,769,1537,1025,1),
       (1445,841,1681,1313,577,1153,257,513,1025,1,1,1),
       (1446,843,1685,1321,593,1185,321,641,1281,513,1025,1),
       (1447,845,1689,1329,609,1217,385,769,1537,1025,1,1),
       (1448,847,1693,1337,625,1249,449,897,1793,1537,1025,1),
       (1449,849,1697,1345,641,1281,513,1025,1,1,1,1),
       (1450,851,1701,1353,657,1313,577,1153,257,513,1025,1),
       (1451,853,1705,1361,673,1345,641,1281,513,1025,1,1),
       (1452,855,1709,1369,689,1377,705,1409,769,1537,1025,1),
       (1453,857,1713,1377,705,1409,769,1537,1025,1,1,1),
       (1454,859,1717,1385,721,1441,833,1665,1281,513,1025,1),
       (1455,861,1721,1393,737,1473,897,1793,1537,1025,1,1),
       (1456,863,1725,1401,753,1505,961,1921,1793,1537,1025,1),
       (1457,865,1729,1409,769,1537,1025,1,1,1,1,1),
       (1458,867,1733,1417,785,1569,1089,129,257,513,1025,1),
       (1459,869,1737,1425,801,1601,1153,257,513,1025,1,1),
       (1460,871,1741,1433,817,1633,1217,385,769,1537,1025,1),
       (1461,873,1745,1441,833,1665,1281,513,1025,1,1,1),
       (1462,875,1749,1449,849,1697,1345,641,1281,513,1025,1),
       (1463,877,1753,1457,865,1729,1409,769,1537,1025,1,1),
       (1464,879,1757,1465,881,1761,1473,897,1793,1537,1025,1),
       (1465,881,1761,1473,897,1793,1537,1025,1,1,1,1),
       (1466,883,1765,1481,913,1825,1601,1153,257,513,1025,1),
       (1467,885,1769,1489,929,1857,1665,1281,513,1025,1,1),
       (1468,887,1773,1497,945,1889,1729,1409,769,1537,1025,1),
       (1469,889,1777,1505,961,1921,1793,1537,1025,1,1,1),
       (1470,891,1781,1513,977,1953,1857,1665,1281,513,1025,1),
       (1471,893,1785,1521,993,1985,1921,1793,1537,1025,1,1),
       (1472,895,1789,1529,1009,2017,1985,1921,1793,1537,1025,1),
       (1473,897,1793,1537,1025,1,1,1,1,1,1,1),
       (1474,899,1797,1545,1041,33,65,129,257,513,1025,1),
       (1475,901,1801,1553,1057,65,129,257,513,1025,1,1),
       (1476,903,1805,1561,1073,97,193,385,769,1537,1025,1),
       (1477,905,1809,1569,1089,129,257,513,1025,1,1,1),
       (1478,907,1813,1577,1105,161,321,641,1281,513,1025,1),
       (1479,909,1817,1585,1121,193,385,769,1537,1025,1,1),
       (1480,911,1821,1593,1137,225,449,897,1793,1537,1025,1),
       (1481,913,1825,1601,1153,257,513,1025,1,1,1,1),
       (1482,915,1829,1609,1169,289,577,1153,257,513,1025,1),
       (1483,917,1833,1617,1185,321,641,1281,513,1025,1,1),
       (1484,919,1837,1625,1201,353,705,1409,769,1537,1025,1),
       (1485,921,1841,1633,1217,385,769,1537,1025,1,1,1),
       (1486,923,1845,1641,1233,417,833,1665,1281,513,1025,1),
       (1487,925,1849,1649,1249,449,897,1793,1537,1025,1,1),
       (1488,927,1853,1657,1265,481,961,1921,1793,1537,1025,1),
       (1489,929,1857,1665,1281,513,1025,1,1,1,1,1),
       (1490,931,1861,1673,1297,545,1089,129,257,513,1025,1),
       (1491,933,1865,1681,1313,577,1153,257,513,1025,1,1),
       (1492,935,1869,1689,1329,609,1217,385,769,1537,1025,1),
       (1493,937,1873,1697,1345,641,1281,513,1025,1,1,1),
       (1494,939,1877,1705,1361,673,1345,641,1281,513,1025,1),
       (1495,941,1881,1713,1377,705,1409,769,1537,1025,1,1),
       (1496,943,1885,1721,1393,737,1473,897,1793,1537,1025,1),
       (1497,945,1889,1729,1409,769,1537,1025,1,1,1,1),
       (1498,947,1893,1737,1425,801,1601,1153,257,513,1025,1),
       (1499,949,1897,1745,1441,833,1665,1281,513,1025,1,1),
       (1500,951,1901,1753,1457,865,1729,1409,769,1537,1025,1),
       (1501,953,1905,1761,1473,897,1793,1537,1025,1,1,1),
       (1502,955,1909,1769,1489,929,1857,1665,1281,513,1025,1),
       (1503,957,1913,1777,1505,961,1921,1793,1537,1025,1,1),
       (1504,959,1917,1785,1521,993,1985,1921,1793,1537,1025,1),
       (1505,961,1921,1793,1537,1025,1,1,1,1,1,1),
       (1506,963,1925,1801,1553,1057,65,129,257,513,1025,1),
       (1507,965,1929,1809,1569,1089,129,257,513,1025,1,1),
       (1508,967,1933,1817,1585,1121,193,385,769,1537,1025,1),
       (1509,969,1937,1825,1601,1153,257,513,1025,1,1,1),
       (1510,971,1941,1833,1617,1185,321,641,1281,513,1025,1),
       (1511,973,1945,1841,1633,1217,385,769,1537,1025,1,1),
       (1512,975,1949,1849,1649,1249,449,897,1793,1537,1025,1),
       (1513,977,1953,1857,1665,1281,513,1025,1,1,1,1),
       (1514,979,1957,1865,1681,1313,577,1153,257,513,1025,1),
       (1515,981,1961,1873,1697,1345,641,1281,513,1025,1,1),
       (1516,983,1965,1881,1713,1377,705,1409,769,1537,1025,1),
       (1517,985,1969,1889,1729,1409,769,1537,1025,1,1,1),
       (1518,987,1973,1897,1745,1441,833,1665,1281,513,1025,1),
       (1519,989,1977,1905,1761,1473,897,1793,1537,1025,1,1),
       (1520,991,1981,1913,1777,1505,961,1921,1793,1537,1025,1),
       (1521,993,1985,1921,1793,1537,1025,1,1,1,1,1),
       (1522,995,1989,1929,1809,1569,1089,129,257,513,1025,1),
       (1523,997,1993,1937,1825,1601,1153,257,513,1025,1,1),
       (1524,999,1997,1945,1841,1633,1217,385,769,1537,1025,1),
       (1525,1001,2001,1953,1857,1665,1281,513,1025,1,1,1),
       (1526,1003,2005,1961,1873,1697,1345,641,1281,513,1025,1),
       (1527,1005,2009,1969,1889,1729,1409,769,1537,1025,1,1),
       (1528,1007,2013,1977,1905,1761,1473,897,1793,1537,1025,1),
       (1529,1009,2017,1985,1921,1793,1537,1025,1,1,1,1),
       (1530,1011,2021,1993,1937,1825,1601,1153,257,513,1025,1),
       (1531,1013,2025,2001,1953,1857,1665,1281,513,1025,1,1),
       (1532,1015,2029,2009,1969,1889,1729,1409,769,1537,1025,1),
       (1533,1017,2033,2017,1985,1921,1793,1537,1025,1,1,1),
       (1534,1019,2037,2025,2001,1953,1857,1665,1281,513,1025,1),
       (1535,1021,2041,2033,2017,1985,1921,1793,1537,1025,1,1),
       (1536,1023,2045,2041,2033,2017,1985,1921,1793,1537,1025,1),
       (1537,1025,1,1,1,1,1,1,1,1,1,1),
       (1538,1027,5,9,17,33,65,129,257,513,1025,1),
       (1539,1029,9,17,33,65,129,257,513,1025,1,1),
       (1540,1031,13,25,49,97,193,385,769,1537,1025,1),
       (1541,1033,17,33,65,129,257,513,1025,1,1,1),
       (1542,1035,21,41,81,161,321,641,1281,513,1025,1),
       (1543,1037,25,49,97,193,385,769,1537,1025,1,1),
       (1544,1039,29,57,113,225,449,897,1793,1537,1025,1),
       (1545,1041,33,65,129,257,513,1025,1,1,1,1),
       (1546,1043,37,73,145,289,577,1153,257,513,1025,1),
       (1547,1045,41,81,161,321,641,1281,513,1025,1,1),
       (1548,1047,45,89,177,353,705,1409,769,1537,1025,1),
       (1549,1049,49,97,193,385,769,1537,1025,1,1,1),
       (1550,1051,53,105,209,417,833,1665,1281,513,1025,1),
       (1551,1053,57,113,225,449,897,1793,1537,1025,1,1),
       (1552,1055,61,121,241,481,961,1921,1793,1537,1025,1),
       (1553,1057,65,129,257,513,1025,1,1,1,1,1),
       (1554,1059,69,137,273,545,1089,129,257,513,1025,1),
       (1555,1061,73,145,289,577,1153,257,513,1025,1,1),
       (1556,1063,77,153,305,609,1217,385,769,1537,1025,1),
       (1557,1065,81,161,321,641,1281,513,1025,1,1,1),
       (1558,1067,85,169,337,673,1345,641,1281,513,1025,1),
       (1559,1069,89,177,353,705,1409,769,1537,1025,1,1),
       (1560,1071,93,185,369,737,1473,897,1793,1537,1025,1),
       (1561,1073,97,193,385,769,1537,1025,1,1,1,1),
       (1562,1075,101,201,401,801,1601,1153,257,513,1025,1),
       (1563,1077,105,209,417,833,1665,1281,513,1025,1,1),
       (1564,1079,109,217,433,865,1729,1409,769,1537,1025,1),
       (1565,1081,113,225,449,897,1793,1537,1025,1,1,1),
       (1566,1083,117,233,465,929,1857,1665,1281,513,1025,1),
       (1567,1085,121,241,481,961,1921,1793,1537,1025,1,1),
       (1568,1087,125,249,497,993,1985,1921,1793,1537,1025,1),
       (1569,1089,129,257,513,1025,1,1,1,1,1,1),
       (1570,1091,133,265,529,1057,65,129,257,513,1025,1),
       (1571,1093,137,273,545,1089,129,257,513,1025,1,1),
       (1572,1095,141,281,561,1121,193,385,769,1537,1025,1),
       (1573,1097,145,289,577,1153,257,513,1025,1,1,1),
       (1574,1099,149,297,593,1185,321,641,1281,513,1025,1),
       (1575,1101,153,305,609,1217,385,769,1537,1025,1,1),
       (1576,1103,157,313,625,1249,449,897,1793,1537,1025,1),
       (1577,1105,161,321,641,1281,513,1025,1,1,1,1),
       (1578,1107,165,329,657,1313,577,1153,257,513,1025,1),
       (1579,1109,169,337,673,1345,641,1281,513,1025,1,1),
       (1580,1111,173,345,689,1377,705,1409,769,1537,1025,1),
       (1581,1113,177,353,705,1409,769,1537,1025,1,1,1),
       (1582,1115,181,361,721,1441,833,1665,1281,513,1025,1),
       (1583,1117,185,369,737,1473,897,1793,1537,1025,1,1),
       (1584,1119,189,377,753,1505,961,1921,1793,1537,1025,1),
       (1585,1121,193,385,769,1537,1025,1,1,1,1,1),
       (1586,1123,197,393,785,1569,1089,129,257,513,1025,1),
       (1587,1125,201,401,801,1601,1153,257,513,1025,1,1),
       (1588,1127,205,409,817,1633,1217,385,769,1537,1025,1),
       (1589,1129,209,417,833,1665,1281,513,1025,1,1,1),
       (1590,1131,213,425,849,1697,1345,641,1281,513,1025,1),
       (1591,1133,217,433,865,1729,1409,769,1537,1025,1,1),
       (1592,1135,221,441,881,1761,1473,897,1793,1537,1025,1),
       (1593,1137,225,449,897,1793,1537,1025,1,1,1,1),
       (1594,1139,229,457,913,1825,1601,1153,257,513,1025,1),
       (1595,1141,233,465,929,1857,1665,1281,513,1025,1,1),
       (1596,1143,237,473,945,1889,1729,1409,769,1537,1025,1),
       (1597,1145,241,481,961,1921,1793,1537,1025,1,1,1),
       (1598,1147,245,489,977,1953,1857,1665,1281,513,1025,1),
       (1599,1149,249,497,993,1985,1921,1793,1537,1025,1,1),
       (1600,1151,253,505,1009,2017,1985,1921,1793,1537,1025,1),
       (1601,1153,257,513,1025,1,1,1,1,1,1,1),
       (1602,1155,261,521,1041,33,65,129,257,513,1025,1),
       (1603,1157,265,529,1057,65,129,257,513,1025,1,1),
       (1604,1159,269,537,1073,97,193,385,769,1537,1025,1),
       (1605,1161,273,545,1089,129,257,513,1025,1,1,1),
       (1606,1163,277,553,1105,161,321,641,1281,513,1025,1),
       (1607,1165,281,561,1121,193,385,769,1537,1025,1,1),
       (1608,1167,285,569,1137,225,449,897,1793,1537,1025,1),
       (1609,1169,289,577,1153,257,513,1025,1,1,1,1),
       (1610,1171,293,585,1169,289,577,1153,257,513,1025,1),
       (1611,1173,297,593,1185,321,641,1281,513,1025,1,1),
       (1612,1175,301,601,1201,353,705,1409,769,1537,1025,1),
       (1613,1177,305,609,1217,385,769,1537,1025,1,1,1),
       (1614,1179,309,617,1233,417,833,1665,1281,513,1025,1),
       (1615,1181,313,625,1249,449,897,1793,1537,1025,1,1),
       (1616,1183,317,633,1265,481,961,1921,1793,1537,1025,1),
       (1617,1185,321,641,1281,513,1025,1,1,1,1,1),
       (1618,1187,325,649,1297,545,1089,129,257,513,1025,1),
       (1619,1189,329,657,1313,577,1153,257,513,1025,1,1),
       (1620,1191,333,665,1329,609,1217,385,769,1537,1025,1),
       (1621,1193,337,673,1345,641,1281,513,1025,1,1,1),
       (1622,1195,341,681,1361,673,1345,641,1281,513,1025,1),
       (1623,1197,345,689,1377,705,1409,769,1537,1025,1,1),
       (1624,1199,349,697,1393,737,1473,897,1793,1537,1025,1),
       (1625,1201,353,705,1409,769,1537,1025,1,1,1,1),
       (1626,1203,357,713,1425,801,1601,1153,257,513,1025,1),
       (1627,1205,361,721,1441,833,1665,1281,513,1025,1,1),
       (1628,1207,365,729,1457,865,1729,1409,769,1537,1025,1),
       (1629,1209,369,737,1473,897,1793,1537,1025,1,1,1),
       (1630,1211,373,745,1489,929,1857,1665,1281,513,1025,1),
       (1631,1213,377,753,1505,961,1921,1793,1537,1025,1,1),
       (1632,1215,381,761,1521,993,1985,1921,1793,1537,1025,1),
       (1633,1217,385,769,1537,1025,1,1,1,1,1,1),
       (1634,1219,389,777,1553,1057,65,129,257,513,1025,1),
       (1635,1221,393,785,1569,1089,129,257,513,1025,1,1),
       (1636,1223,397,793,1585,1121,193,385,769,1537,1025,1),
       (1637,1225,401,801,1601,1153,257,513,1025,1,1,1),
       (1638,1227,405,809,1617,1185,321,641,1281,513,1025,1),
       (1639,1229,409,817,1633,1217,385,769,1537,1025,1,1),
       (1640,1231,413,825,1649,1249,449,897,1793,1537,1025,1),
       (1641,1233,417,833,1665,1281,513,1025,1,1,1,1),
       (1642,1235,421,841,1681,1313,577,1153,257,513,1025,1),
       (1643,1237,425,849,1697,1345,641,1281,513,1025,1,1),
       (1644,1239,429,857,1713,1377,705,1409,769,1537,1025,1),
       (1645,1241,433,865,1729,1409,769,1537,1025,1,1,1),
       (1646,1243,437,873,1745,1441,833,1665,1281,513,1025,1),
       (1647,1245,441,881,1761,1473,897,1793,1537,1025,1,1),
       (1648,1247,445,889,1777,1505,961,1921,1793,1537,1025,1),
       (1649,1249,449,897,1793,1537,1025,1,1,1,1,1),
       (1650,1251,453,905,1809,1569,1089,129,257,513,1025,1),
       (1651,1253,457,913,1825,1601,1153,257,513,1025,1,1),
       (1652,1255,461,921,1841,1633,1217,385,769,1537,1025,1),
       (1653,1257,465,929,1857,1665,1281,513,1025,1,1,1),
       (1654,1259,469,937,1873,1697,1345,641,1281,513,1025,1),
       (1655,1261,473,945,1889,1729,1409,769,1537,1025,1,1),
       (1656,1263,477,953,1905,1761,1473,897,1793,1537,1025,1),
       (1657,1265,481,961,1921,1793,1537,1025,1,1,1,1),
       (1658,1267,485,969,1937,1825,1601,1153,257,513,1025,1),
       (1659,1269,489,977,1953,1857,1665,1281,513,1025,1,1),
       (1660,1271,493,985,1969,1889,1729,1409,769,1537,1025,1),
       (1661,1273,497,993,1985,1921,1793,1537,1025,1,1,1),
       (1662,1275,501,1001,2001,1953,1857,1665,1281,513,1025,1),
       (1663,1277,505,1009,2017,1985,1921,1793,1537,1025,1,1),
       (1664,1279,509,1017,2033,2017,1985,1921,1793,1537,1025,1),
       (1665,1281,513,1025,1,1,1,1,1,1,1,1),
       (1666,1283,517,1033,17,33,65,129,257,513,1025,1),
       (1667,1285,521,1041,33,65,129,257,513,1025,1,1),
       (1668,1287,525,1049,49,97,193,385,769,1537,1025,1),
       (1669,1289,529,1057,65,129,257,513,1025,1,1,1),
       (1670,1291,533,1065,81,161,321,641,1281,513,1025,1),
       (1671,1293,537,1073,97,193,385,769,1537,1025,1,1),
       (1672,1295,541,1081,113,225,449,897,1793,1537,1025,1),
       (1673,1297,545,1089,129,257,513,1025,1,1,1,1),
       (1674,1299,549,1097,145,289,577,1153,257,513,1025,1),
       (1675,1301,553,1105,161,321,641,1281,513,1025,1,1),
       (1676,1303,557,1113,177,353,705,1409,769,1537,1025,1),
       (1677,1305,561,1121,193,385,769,1537,1025,1,1,1),
       (1678,1307,565,1129,209,417,833,1665,1281,513,1025,1),
       (1679,1309,569,1137,225,449,897,1793,1537,1025,1,1),
       (1680,1311,573,1145,241,481,961,1921,1793,1537,1025,1),
       (1681,1313,577,1153,257,513,1025,1,1,1,1,1),
       (1682,1315,581,1161,273,545,1089,129,257,513,1025,1),
       (1683,1317,585,1169,289,577,1153,257,513,1025,1,1),
       (1684,1319,589,1177,305,609,1217,385,769,1537,1025,1),
       (1685,1321,593,1185,321,641,1281,513,1025,1,1,1),
       (1686,1323,597,1193,337,673,1345,641,1281,513,1025,1),
       (1687,1325,601,1201,353,705,1409,769,1537,1025,1,1),
       (1688,1327,605,1209,369,737,1473,897,1793,1537,1025,1),
       (1689,1329,609,1217,385,769,1537,1025,1,1,1,1),
       (1690,1331,613,1225,401,801,1601,1153,257,513,1025,1),
       (1691,1333,617,1233,417,833,1665,1281,513,1025,1,1),
       (1692,1335,621,1241,433,865,1729,1409,769,1537,1025,1),
       (1693,1337,625,1249,449,897,1793,1537,1025,1,1,1),
       (1694,1339,629,1257,465,929,1857,1665,1281,513,1025,1),
       (1695,1341,633,1265,481,961,1921,1793,1537,1025,1,1),
       (1696,1343,637,1273,497,993,1985,1921,1793,1537,1025,1),
       (1697,1345,641,1281,513,1025,1,1,1,1,1,1),
       (1698,1347,645,1289,529,1057,65,129,257,513,1025,1),
       (1699,1349,649,1297,545,1089,129,257,513,1025,1,1),
       (1700,1351,653,1305,561,1121,193,385,769,1537,1025,1),
       (1701,1353,657,1313,577,1153,257,513,1025,1,1,1),
       (1702,1355,661,1321,593,1185,321,641,1281,513,1025,1),
       (1703,1357,665,1329,609,1217,385,769,1537,1025,1,1),
       (1704,1359,669,1337,625,1249,449,897,1793,1537,1025,1),
       (1705,1361,673,1345,641,1281,513,1025,1,1,1,1),
       (1706,1363,677,1353,657,1313,577,1153,257,513,1025,1),
       (1707,1365,681,1361,673,1345,641,1281,513,1025,1,1),
       (1708,1367,685,1369,689,1377,705,1409,769,1537,1025,1),
       (1709,1369,689,1377,705,1409,769,1537,1025,1,1,1),
       (1710,1371,693,1385,721,1441,833,1665,1281,513,1025,1),
       (1711,1373,697,1393,737,1473,897,1793,1537,1025,1,1),
       (1712,1375,701,1401,753,1505,961,1921,1793,1537,1025,1),
       (1713,1377,705,1409,769,1537,1025,1,1,1,1,1),
       (1714,1379,709,1417,785,1569,1089,129,257,513,1025,1),
       (1715,1381,713,1425,801,1601,1153,257,513,1025,1,1),
       (1716,1383,717,1433,817,1633,1217,385,769,1537,1025,1),
       (1717,1385,721,1441,833,1665,1281,513,1025,1,1,1),
       (1718,1387,725,1449,849,1697,1345,641,1281,513,1025,1),
       (1719,1389,729,1457,865,1729,1409,769,1537,1025,1,1),
       (1720,1391,733,1465,881,1761,1473,897,1793,1537,1025,1),
       (1721,1393,737,1473,897,1793,1537,1025,1,1,1,1),
       (1722,1395,741,1481,913,1825,1601,1153,257,513,1025,1),
       (1723,1397,745,1489,929,1857,1665,1281,513,1025,1,1),
       (1724,1399,749,1497,945,1889,1729,1409,769,1537,1025,1),
       (1725,1401,753,1505,961,1921,1793,1537,1025,1,1,1),
       (1726,1403,757,1513,977,1953,1857,1665,1281,513,1025,1),
       (1727,1405,761,1521,993,1985,1921,1793,1537,1025,1,1),
       (1728,1407,765,1529,1009,2017,1985,1921,1793,1537,1025,1),
       (1729,1409,769,1537,1025,1,1,1,1,1,1,1),
       (1730,1411,773,1545,1041,33,65,129,257,513,1025,1),
       (1731,1413,777,1553,1057,65,129,257,513,1025,1,1),
       (1732,1415,781,1561,1073,97,193,385,769,1537,1025,1),
       (1733,1417,785,1569,1089,129,257,513,1025,1,1,1),
       (1734,1419,789,1577,1105,161,321,641,1281,513,1025,1),
       (1735,1421,793,1585,1121,193,385,769,1537,1025,1,1),
       (1736,1423,797,1593,1137,225,449,897,1793,1537,1025,1),
       (1737,1425,801,1601,1153,257,513,1025,1,1,1,1),
       (1738,1427,805,1609,1169,289,577,1153,257,513,1025,1),
       (1739,1429,809,1617,1185,321,641,1281,513,1025,1,1),
       (1740,1431,813,1625,1201,353,705,1409,769,1537,1025,1),
       (1741,1433,817,1633,1217,385,769,1537,1025,1,1,1),
       (1742,1435,821,1641,1233,417,833,1665,1281,513,1025,1),
       (1743,1437,825,1649,1249,449,897,1793,1537,1025,1,1),
       (1744,1439,829,1657,1265,481,961,1921,1793,1537,1025,1),
       (1745,1441,833,1665,1281,513,1025,1,1,1,1,1),
       (1746,1443,837,1673,1297,545,1089,129,257,513,1025,1),
       (1747,1445,841,1681,1313,577,1153,257,513,1025,1,1),
       (1748,1447,845,1689,1329,609,1217,385,769,1537,1025,1),
       (1749,1449,849,1697,1345,641,1281,513,1025,1,1,1),
       (1750,1451,853,1705,1361,673,1345,641,1281,513,1025,1),
       (1751,1453,857,1713,1377,705,1409,769,1537,1025,1,1),
       (1752,1455,861,1721,1393,737,1473,897,1793,1537,1025,1),
       (1753,1457,865,1729,1409,769,1537,1025,1,1,1,1),
       (1754,1459,869,1737,1425,801,1601,1153,257,513,1025,1),
       (1755,1461,873,1745,1441,833,1665,1281,513,1025,1,1),
       (1756,1463,877,1753,1457,865,1729,1409,769,1537,1025,1),
       (1757,1465,881,1761,1473,897,1793,1537,1025,1,1,1),
       (1758,1467,885,1769,1489,929,1857,1665,1281,513,1025,1),
       (1759,1469,889,1777,1505,961,1921,1793,1537,1025,1,1),
       (1760,1471,893,1785,1521,993,1985,1921,1793,1537,1025,1),
       (1761,1473,897,1793,1537,1025,1,1,1,1,1,1),
       (1762,1475,901,1801,1553,1057,65,129,257,513,1025,1),
       (1763,1477,905,1809,1569,1089,129,257,513,1025,1,1),
       (1764,1479,909,1817,1585,1121,193,385,769,1537,1025,1),
       (1765,1481,913,1825,1601,1153,257,513,1025,1,1,1),
       (1766,1483,917,1833,1617,1185,321,641,1281,513,1025,1),
       (1767,1485,921,1841,1633,1217,385,769,1537,1025,1,1),
       (1768,1487,925,1849,1649,1249,449,897,1793,1537,1025,1),
       (1769,1489,929,1857,1665,1281,513,1025,1,1,1,1),
       (1770,1491,933,1865,1681,1313,577,1153,257,513,1025,1),
       (1771,1493,937,1873,1697,1345,641,1281,513,1025,1,1),
       (1772,1495,941,1881,1713,1377,705,1409,769,1537,1025,1),
       (1773,1497,945,1889,1729,1409,769,1537,1025,1,1,1),
       (1774,1499,949,1897,1745,1441,833,1665,1281,513,1025,1),
       (1775,1501,953,1905,1761,1473,897,1793,1537,1025,1,1),
       (1776,1503,957,1913,1777,1505,961,1921,1793,1537,1025,1),
       (1777,1505,961,1921,1793,1537,1025,1,1,1,1,1),
       (1778,1507,965,1929,1809,1569,1089,129,257,513,1025,1),
       (1779,1509,969,1937,1825,1601,1153,257,513,1025,1,1),
       (1780,1511,973,1945,1841,1633,1217,385,769,1537,1025,1),
       (1781,1513,977,1953,1857,1665,1281,513,1025,1,1,1),
       (1782,1515,981,1961,1873,1697,1345,641,1281,513,1025,1),
       (1783,1517,985,1969,1889,1729,1409,769,1537,1025,1,1),
       (1784,1519,989,1977,1905,1761,1473,897,1793,1537,1025,1),
       (1785,1521,993,1985,1921,1793,1537,1025,1,1,1,1),
       (1786,1523,997,1993,1937,1825,1601,1153,257,513,1025,1),
       (1787,1525,1001,2001,1953,1857,1665,1281,513,1025,1,1),
       (1788,1527,1005,2009,1969,1889,1729,1409,769,1537,1025,1),
       (1789,1529,1009,2017,1985,1921,1793,1537,1025,1,1,1),
       (1790,1531,1013,2025,2001,1953,1857,1665,1281,513,1025,1),
       (1791,1533,1017,2033,2017,1985,1921,1793,1537,1025,1,1),
       (1792,1535,1021,2041,2033,2017,1985,1921,1793,1537,1025,1),
       (1793,1537,1025,1,1,1,1,1,1,1,1,1),
       (1794,1539,1029,9,17,33,65,129,257,513,1025,1),
       (1795,1541,1033,17,33,65,129,257,513,1025,1,1),
       (1796,1543,1037,25,49,97,193,385,769,1537,1025,1),
       (1797,1545,1041,33,65,129,257,513,1025,1,1,1),
       (1798,1547,1045,41,81,161,321,641,1281,513,1025,1),
       (1799,1549,1049,49,97,193,385,769,1537,1025,1,1),
       (1800,1551,1053,57,113,225,449,897,1793,1537,1025,1),
       (1801,1553,1057,65,129,257,513,1025,1,1,1,1),
       (1802,1555,1061,73,145,289,577,1153,257,513,1025,1),
       (1803,1557,1065,81,161,321,641,1281,513,1025,1,1),
       (1804,1559,1069,89,177,353,705,1409,769,1537,1025,1),
       (1805,1561,1073,97,193,385,769,1537,1025,1,1,1),
       (1806,1563,1077,105,209,417,833,1665,1281,513,1025,1),
       (1807,1565,1081,113,225,449,897,1793,1537,1025,1,1),
       (1808,1567,1085,121,241,481,961,1921,1793,1537,1025,1),
       (1809,1569,1089,129,257,513,1025,1,1,1,1,1),
       (1810,1571,1093,137,273,545,1089,129,257,513,1025,1),
       (1811,1573,1097,145,289,577,1153,257,513,1025,1,1),
       (1812,1575,1101,153,305,609,1217,385,769,1537,1025,1),
       (1813,1577,1105,161,321,641,1281,513,1025,1,1,1),
       (1814,1579,1109,169,337,673,1345,641,1281,513,1025,1),
       (1815,1581,1113,177,353,705,1409,769,1537,1025,1,1),
       (1816,1583,1117,185,369,737,1473,897,1793,1537,1025,1),
       (1817,1585,1121,193,385,769,1537,1025,1,1,1,1),
       (1818,1587,1125,201,401,801,1601,1153,257,513,1025,1),
       (1819,1589,1129,209,417,833,1665,1281,513,1025,1,1),
       (1820,1591,1133,217,433,865,1729,1409,769,1537,1025,1),
       (1821,1593,1137,225,449,897,1793,1537,1025,1,1,1),
       (1822,1595,1141,233,465,929,1857,1665,1281,513,1025,1),
       (1823,1597,1145,241,481,961,1921,1793,1537,1025,1,1),
       (1824,1599,1149,249,497,993,1985,1921,1793,1537,1025,1),
       (1825,1601,1153,257,513,1025,1,1,1,1,1,1),
       (1826,1603,1157,265,529,1057,65,129,257,513,1025,1),
       (1827,1605,1161,273,545,1089,129,257,513,1025,1,1),
       (1828,1607,1165,281,561,1121,193,385,769,1537,1025,1),
       (1829,1609,1169,289,577,1153,257,513,1025,1,1,1),
       (1830,1611,1173,297,593,1185,321,641,1281,513,1025,1),
       (1831,1613,1177,305,609,1217,385,769,1537,1025,1,1),
       (1832,1615,1181,313,625,1249,449,897,1793,1537,1025,1),
       (1833,1617,1185,321,641,1281,513,1025,1,1,1,1),
       (1834,1619,1189,329,657,1313,577,1153,257,513,1025,1),
       (1835,1621,1193,337,673,1345,641,1281,513,1025,1,1),
       (1836,1623,1197,345,689,1377,705,1409,769,1537,1025,1),
       (1837,1625,1201,353,705,1409,769,1537,1025,1,1,1),
       (1838,1627,1205,361,721,1441,833,1665,1281,513,1025,1),
       (1839,1629,1209,369,737,1473,897,1793,1537,1025,1,1),
       (1840,1631,1213,377,753,1505,961,1921,1793,1537,1025,1),
       (1841,1633,1217,385,769,1537,1025,1,1,1,1,1),
       (1842,1635,1221,393,785,1569,1089,129,257,513,1025,1),
       (1843,1637,1225,401,801,1601,1153,257,513,1025,1,1),
       (1844,1639,1229,409,817,1633,1217,385,769,1537,1025,1),
       (1845,1641,1233,417,833,1665,1281,513,1025,1,1,1),
       (1846,1643,1237,425,849,1697,1345,641,1281,513,1025,1),
       (1847,1645,1241,433,865,1729,1409,769,1537,1025,1,1),
       (1848,1647,1245,441,881,1761,1473,897,1793,1537,1025,1),
       (1849,1649,1249,449,897,1793,1537,1025,1,1,1,1),
       (1850,1651,1253,457,913,1825,1601,1153,257,513,1025,1),
       (1851,1653,1257,465,929,1857,1665,1281,513,1025,1,1),
       (1852,1655,1261,473,945,1889,1729,1409,769,1537,1025,1),
       (1853,1657,1265,481,961,1921,1793,1537,1025,1,1,1),
       (1854,1659,1269,489,977,1953,1857,1665,1281,513,1025,1),
       (1855,1661,1273,497,993,1985,1921,1793,1537,1025,1,1),
       (1856,1663,1277,505,1009,2017,1985,1921,1793,1537,1025,1),
       (1857,1665,1281,513,1025,1,1,1,1,1,1,1),
       (1858,1667,1285,521,1041,33,65,129,257,513,1025,1),
       (1859,1669,1289,529,1057,65,129,257,513,1025,1,1),
       (1860,1671,1293,537,1073,97,193,385,769,1537,1025,1),
       (1861,1673,1297,545,1089,129,257,513,1025,1,1,1),
       (1862,1675,1301,553,1105,161,321,641,1281,513,1025,1),
       (1863,1677,1305,561,1121,193,385,769,1537,1025,1,1),
       (1864,1679,1309,569,1137,225,449,897,1793,1537,1025,1),
       (1865,1681,1313,577,1153,257,513,1025,1,1,1,1),
       (1866,1683,1317,585,1169,289,577,1153,257,513,1025,1),
       (1867,1685,1321,593,1185,321,641,1281,513,1025,1,1),
       (1868,1687,1325,601,1201,353,705,1409,769,1537,1025,1),
       (1869,1689,1329,609,1217,385,769,1537,1025,1,1,1),
       (1870,1691,1333,617,1233,417,833,1665,1281,513,1025,1),
       (1871,1693,1337,625,1249,449,897,1793,1537,1025,1,1),
       (1872,1695,1341,633,1265,481,961,1921,1793,1537,1025,1),
       (1873,1697,1345,641,1281,513,1025,1,1,1,1,1),
       (1874,1699,1349,649,1297,545,1089,129,257,513,1025,1),
       (1875,1701,1353,657,1313,577,1153,257,513,1025,1,1),
       (1876,1703,1357,665,1329,609,1217,385,769,1537,1025,1),
       (1877,1705,1361,673,1345,641,1281,513,1025,1,1,1),
       (1878,1707,1365,681,1361,673,1345,641,1281,513,1025,1),
       (1879,1709,1369,689,1377,705,1409,769,1537,1025,1,1),
       (1880,1711,1373,697,1393,737,1473,897,1793,1537,1025,1),
       (1881,1713,1377,705,1409,769,1537,1025,1,1,1,1),
       (1882,1715,1381,713,1425,801,1601,1153,257,513,1025,1),
       (1883,1717,1385,721,1441,833,1665,1281,513,1025,1,1),
       (1884,1719,1389,729,1457,865,1729,1409,769,1537,1025,1),
       (1885,1721,1393,737,1473,897,1793,1537,1025,1,1,1),
       (1886,1723,1397,745,1489,929,1857,1665,1281,513,1025,1),
       (1887,1725,1401,753,1505,961,1921,1793,1537,1025,1,1),
       (1888,1727,1405,761,1521,993,1985,1921,1793,1537,1025,1),
       (1889,1729,1409,769,1537,1025,1,1,1,1,1,1),
       (1890,1731,1413,777,1553,1057,65,129,257,513,1025,1),
       (1891,1733,1417,785,1569,1089,129,257,513,1025,1,1),
       (1892,1735,1421,793,1585,1121,193,385,769,1537,1025,1),
       (1893,1737,1425,801,1601,1153,257,513,1025,1,1,1),
       (1894,1739,1429,809,1617,1185,321,641,1281,513,1025,1),
       (1895,1741,1433,817,1633,1217,385,769,1537,1025,1,1),
       (1896,1743,1437,825,1649,1249,449,897,1793,1537,1025,1),
       (1897,1745,1441,833,1665,1281,513,1025,1,1,1,1),
       (1898,1747,1445,841,1681,1313,577,1153,257,513,1025,1),
       (1899,1749,1449,849,1697,1345,641,1281,513,1025,1,1),
       (1900,1751,1453,857,1713,1377,705,1409,769,1537,1025,1),
       (1901,1753,1457,865,1729,1409,769,1537,1025,1,1,1),
       (1902,1755,1461,873,1745,1441,833,1665,1281,513,1025,1),
       (1903,1757,1465,881,1761,1473,897,1793,1537,1025,1,1),
       (1904,1759,1469,889,1777,1505,961,1921,1793,1537,1025,1),
       (1905,1761,1473,897,1793,1537,1025,1,1,1,1,1),
       (1906,1763,1477,905,1809,1569,1089,129,257,513,1025,1),
       (1907,1765,1481,913,1825,1601,1153,257,513,1025,1,1),
       (1908,1767,1485,921,1841,1633,1217,385,769,1537,1025,1),
       (1909,1769,1489,929,1857,1665,1281,513,1025,1,1,1),
       (1910,1771,1493,937,1873,1697,1345,641,1281,513,1025,1),
       (1911,1773,1497,945,1889,1729,1409,769,1537,1025,1,1),
       (1912,1775,1501,953,1905,1761,1473,897,1793,1537,1025,1),
       (1913,1777,1505,961,1921,1793,1537,1025,1,1,1,1),
       (1914,1779,1509,969,1937,1825,1601,1153,257,513,1025,1),
       (1915,1781,1513,977,1953,1857,1665,1281,513,1025,1,1),
       (1916,1783,1517,985,1969,1889,1729,1409,769,1537,1025,1),
       (1917,1785,1521,993,1985,1921,1793,1537,1025,1,1,1),
       (1918,1787,1525,1001,2001,1953,1857,1665,1281,513,1025,1),
       (1919,1789,1529,1009,2017,1985,1921,1793,1537,1025,1,1),
       (1920,1791,1533,1017,2033,2017,1985,1921,1793,1537,1025,1),
       (1921,1793,1537,1025,1,1,1,1,1,1,1,1),
       (1922,1795,1541,1033,17,33,65,129,257,513,1025,1),
       (1923,1797,1545,1041,33,65,129,257,513,1025,1,1),
       (1924,1799,1549,1049,49,97,193,385,769,1537,1025,1),
       (1925,1801,1553,1057,65,129,257,513,1025,1,1,1),
       (1926,1803,1557,1065,81,161,321,641,1281,513,1025,1),
       (1927,1805,1561,1073,97,193,385,769,1537,1025,1,1),
       (1928,1807,1565,1081,113,225,449,897,1793,1537,1025,1),
       (1929,1809,1569,1089,129,257,513,1025,1,1,1,1),
       (1930,1811,1573,1097,145,289,577,1153,257,513,1025,1),
       (1931,1813,1577,1105,161,321,641,1281,513,1025,1,1),
       (1932,1815,1581,1113,177,353,705,1409,769,1537,1025,1),
       (1933,1817,1585,1121,193,385,769,1537,1025,1,1,1),
       (1934,1819,1589,1129,209,417,833,1665,1281,513,1025,1),
       (1935,1821,1593,1137,225,449,897,1793,1537,1025,1,1),
       (1936,1823,1597,1145,241,481,961,1921,1793,1537,1025,1),
       (1937,1825,1601,1153,257,513,1025,1,1,1,1,1),
       (1938,1827,1605,1161,273,545,1089,129,257,513,1025,1),
       (1939,1829,1609,1169,289,577,1153,257,513,1025,1,1),
       (1940,1831,1613,1177,305,609,1217,385,769,1537,1025,1),
       (1941,1833,1617,1185,321,641,1281,513,1025,1,1,1),
       (1942,1835,1621,1193,337,673,1345,641,1281,513,1025,1),
       (1943,1837,1625,1201,353,705,1409,769,1537,1025,1,1),
       (1944,1839,1629,1209,369,737,1473,897,1793,1537,1025,1),
       (1945,1841,1633,1217,385,769,1537,1025,1,1,1,1),
       (1946,1843,1637,1225,401,801,1601,1153,257,513,1025,1),
       (1947,1845,1641,1233,417,833,1665,1281,513,1025,1,1),
       (1948,1847,1645,1241,433,865,1729,1409,769,1537,1025,1),
       (1949,1849,1649,1249,449,897,1793,1537,1025,1,1,1),
       (1950,1851,1653,1257,465,929,1857,1665,1281,513,1025,1),
       (1951,1853,1657,1265,481,961,1921,1793,1537,1025,1,1),
       (1952,1855,1661,1273,497,993,1985,1921,1793,1537,1025,1),
       (1953,1857,1665,1281,513,1025,1,1,1,1,1,1),
       (1954,1859,1669,1289,529,1057,65,129,257,513,1025,1),
       (1955,1861,1673,1297,545,1089,129,257,513,1025,1,1),
       (1956,1863,1677,1305,561,1121,193,385,769,1537,1025,1),
       (1957,1865,1681,1313,577,1153,257,513,1025,1,1,1),
       (1958,1867,1685,1321,593,1185,321,641,1281,513,1025,1),
       (1959,1869,1689,1329,609,1217,385,769,1537,1025,1,1),
       (1960,1871,1693,1337,625,1249,449,897,1793,1537,1025,1),
       (1961,1873,1697,1345,641,1281,513,1025,1,1,1,1),
       (1962,1875,1701,1353,657,1313,577,1153,257,513,1025,1),
       (1963,1877,1705,1361,673,1345,641,1281,513,1025,1,1),
       (1964,1879,1709,1369,689,1377,705,1409,769,1537,1025,1),
       (1965,1881,1713,1377,705,1409,769,1537,1025,1,1,1),
       (1966,1883,1717,1385,721,1441,833,1665,1281,513,1025,1),
       (1967,1885,1721,1393,737,1473,897,1793,1537,1025,1,1),
       (1968,1887,1725,1401,753,1505,961,1921,1793,1537,1025,1),
       (1969,1889,1729,1409,769,1537,1025,1,1,1,1,1),
       (1970,1891,1733,1417,785,1569,1089,129,257,513,1025,1),
       (1971,1893,1737,1425,801,1601,1153,257,513,1025,1,1),
       (1972,1895,1741,1433,817,1633,1217,385,769,1537,1025,1),
       (1973,1897,1745,1441,833,1665,1281,513,1025,1,1,1),
       (1974,1899,1749,1449,849,1697,1345,641,1281,513,1025,1),
       (1975,1901,1753,1457,865,1729,1409,769,1537,1025,1,1),
       (1976,1903,1757,1465,881,1761,1473,897,1793,1537,1025,1),
       (1977,1905,1761,1473,897,1793,1537,1025,1,1,1,1),
       (1978,1907,1765,1481,913,1825,1601,1153,257,513,1025,1),
       (1979,1909,1769,1489,929,1857,1665,1281,513,1025,1,1),
       (1980,1911,1773,1497,945,1889,1729,1409,769,1537,1025,1),
       (1981,1913,1777,1505,961,1921,1793,1537,1025,1,1,1),
       (1982,1915,1781,1513,977,1953,1857,1665,1281,513,1025,1),
       (1983,1917,1785,1521,993,1985,1921,1793,1537,1025,1,1),
       (1984,1919,1789,1529,1009,2017,1985,1921,1793,1537,1025,1),
       (1985,1921,1793,1537,1025,1,1,1,1,1,1,1),
       (1986,1923,1797,1545,1041,33,65,129,257,513,1025,1),
       (1987,1925,1801,1553,1057,65,129,257,513,1025,1,1),
       (1988,1927,1805,1561,1073,97,193,385,769,1537,1025,1),
       (1989,1929,1809,1569,1089,129,257,513,1025,1,1,1),
       (1990,1931,1813,1577,1105,161,321,641,1281,513,1025,1),
       (1991,1933,1817,1585,1121,193,385,769,1537,1025,1,1),
       (1992,1935,1821,1593,1137,225,449,897,1793,1537,1025,1),
       (1993,1937,1825,1601,1153,257,513,1025,1,1,1,1),
       (1994,1939,1829,1609,1169,289,577,1153,257,513,1025,1),
       (1995,1941,1833,1617,1185,321,641,1281,513,1025,1,1),
       (1996,1943,1837,1625,1201,353,705,1409,769,1537,1025,1),
       (1997,1945,1841,1633,1217,385,769,1537,1025,1,1,1),
       (1998,1947,1845,1641,1233,417,833,1665,1281,513,1025,1),
       (1999,1949,1849,1649,1249,449,897,1793,1537,1025,1,1),
       (2000,1951,1853,1657,1265,481,961,1921,1793,1537,1025,1),
       (2001,1953,1857,1665,1281,513,1025,1,1,1,1,1),
       (2002,1955,1861,1673,1297,545,1089,129,257,513,1025,1),
       (2003,1957,1865,1681,1313,577,1153,257,513,1025,1,1),
       (2004,1959,1869,1689,1329,609,1217,385,769,1537,1025,1),
       (2005,1961,1873,1697,1345,641,1281,513,1025,1,1,1),
       (2006,1963,1877,1705,1361,673,1345,641,1281,513,1025,1),
       (2007,1965,1881,1713,1377,705,1409,769,1537,1025,1,1),
       (2008,1967,1885,1721,1393,737,1473,897,1793,1537,1025,1),
       (2009,1969,1889,1729,1409,769,1537,1025,1,1,1,1),
       (2010,1971,1893,1737,1425,801,1601,1153,257,513,1025,1),
       (2011,1973,1897,1745,1441,833,1665,1281,513,1025,1,1),
       (2012,1975,1901,1753,1457,865,1729,1409,769,1537,1025,1),
       (2013,1977,1905,1761,1473,897,1793,1537,1025,1,1,1),
       (2014,1979,1909,1769,1489,929,1857,1665,1281,513,1025,1),
       (2015,1981,1913,1777,1505,961,1921,1793,1537,1025,1,1),
       (2016,1983,1917,1785,1521,993,1985,1921,1793,1537,1025,1),
       (2017,1985,1921,1793,1537,1025,1,1,1,1,1,1),
       (2018,1987,1925,1801,1553,1057,65,129,257,513,1025,1),
       (2019,1989,1929,1809,1569,1089,129,257,513,1025,1,1),
       (2020,1991,1933,1817,1585,1121,193,385,769,1537,1025,1),
       (2021,1993,1937,1825,1601,1153,257,513,1025,1,1,1),
       (2022,1995,1941,1833,1617,1185,321,641,1281,513,1025,1),
       (2023,1997,1945,1841,1633,1217,385,769,1537,1025,1,1),
       (2024,1999,1949,1849,1649,1249,449,897,1793,1537,1025,1),
       (2025,2001,1953,1857,1665,1281,513,1025,1,1,1,1),
       (2026,2003,1957,1865,1681,1313,577,1153,257,513,1025,1),
       (2027,2005,1961,1873,1697,1345,641,1281,513,1025,1,1),
       (2028,2007,1965,1881,1713,1377,705,1409,769,1537,1025,1),
       (2029,2009,1969,1889,1729,1409,769,1537,1025,1,1,1),
       (2030,2011,1973,1897,1745,1441,833,1665,1281,513,1025,1),
       (2031,2013,1977,1905,1761,1473,897,1793,1537,1025,1,1),
       (2032,2015,1981,1913,1777,1505,961,1921,1793,1537,1025,1),
       (2033,2017,1985,1921,1793,1537,1025,1,1,1,1,1),
       (2034,2019,1989,1929,1809,1569,1089,129,257,513,1025,1),
       (2035,2021,1993,1937,1825,1601,1153,257,513,1025,1,1),
       (2036,2023,1997,1945,1841,1633,1217,385,769,1537,1025,1),
       (2037,2025,2001,1953,1857,1665,1281,513,1025,1,1,1),
       (2038,2027,2005,1961,1873,1697,1345,641,1281,513,1025,1),
       (2039,2029,2009,1969,1889,1729,1409,769,1537,1025,1,1),
       (2040,2031,2013,1977,1905,1761,1473,897,1793,1537,1025,1),
       (2041,2033,2017,1985,1921,1793,1537,1025,1,1,1,1),
       (2042,2035,2021,1993,1937,1825,1601,1153,257,513,1025,1),
       (2043,2037,2025,2001,1953,1857,1665,1281,513,1025,1,1),
       (2044,2039,2029,2009,1969,1889,1729,1409,769,1537,1025,1),
       (2045,2041,2033,2017,1985,1921,1793,1537,1025,1,1,1),
       (2046,2043,2037,2025,2001,1953,1857,1665,1281,513,1025,1),
       (2047,2045,2041,2033,2017,1985,1921,1793,1537,1025,1,1),
       (2048,2047,2045,2041,2033,2017,1985,1921,1793,1537,1025,1)
   ); 
 
 end package twiddlesPkg; 
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.