OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [workspace/] [synthesis/] [quartus/] [axi4-tlm.sdc] - Rev 44

Go to most recent revision | Compare with Previous | Blame | View Log

create_clock -period 100MHz -name clk [get_ports {clk}]
#derive_pll_clocks -create_base_clock
derive_clock_uncertainty

set_false_path -from [get_keepers *por*] -to [get_keepers *por*]
set_false_path -from [get_keepers *reset*]

#if {$::quartus(nameofexecutable) == "quartus_fit"} {
#set_max_delay -from *symbolsPerTransfer* -to *i1_outstandingTransactions* -10.000
#set_min_delay -from *symbolsPerTransfer* -to *i1_outstandingTransactions* -10.000

##set_max_delay -to [get_clocks clk] 20
#}

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.