OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [release/] [IFinalOutput.bsv] - Rev 84

Go to most recent revision | Compare with Previous | Blame | View Log

//**********************************************************************
// Interface for Final Output
//----------------------------------------------------------------------
//
//
//

package IFinalOutput;

import H264Types::*;
import GetPut::*;
import ClientServer::*;

interface IFinalOutput;

  // Interface for inter-module io
  interface Put#(BufferControlOT) ioin;

endinterface

endpackage

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.