OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [release/] [MemoryTee.bsv] - Rev 84

Go to most recent revision | Compare with Previous | Blame | View Log

import H264Types::*;
import GetPut::*;
import ClientServer::*;


module mkMemoryTee#(Client#(req_type,resp_type) client, Server#(req_type,resp_type) server, String prefix) () 
  provisos(
            Bits#(req_type, req_type_sz),
            Bits#(resp_type, resp_type_sz))  ;

  rule clientToServer;
    let clientReq <- client.request.get();
    server.request.put(clientReq);
    $write(prefix);
    $write(" REQ ");
    $display("%h", clientReq);
  endrule

  rule serverToClient;
    let clientResp <- server.response.get();
    client.response.put(clientResp);
    $write(prefix);
    $write(" RESP ");
    $display("%h", clientResp);
  endrule 

endmodule

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.