URL
https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk
Subversion Repositories bluespec-h264
[/] [bluespec-h264/] [trunk/] [release/] [mkInputGen_park.bsv] - Rev 84
Go to most recent revision | Compare with Previous | Blame | View Log
//**********************************************************************
// Input Generator implementation
//----------------------------------------------------------------------
//
//
package mkInputGen;
import H264Types::*;
import IInputGen::*;
import RegFile::*;
import FIFO::*;
import Connectable::*;
import GetPut::*;
module mkInputGen( IInputGen );
RegFile#(Bit#(27), Bit#(8)) rfile <- mkRegFileLoad("720p50_parkrun_ter1-5.hex", 0, 1023205);
FIFO#(InputGenOT) outfifo <- mkFIFO;
Reg#(Bit#(27)) index <- mkReg(0);
rule output_byte (index < 1023206);
//$display( "ccl0inputbyte %x", rfile.sub(index) );
outfifo.enq(DataByte rfile.sub(index));
index <= index+1;
endrule
rule end_of_file (index == 1023206);
//$finish(0);
outfifo.enq(EndOfFile);
endrule
interface Get ioout = fifoToGet(outfifo);
endmodule
endpackage
Go to most recent revision | Compare with Previous | Blame | View Log