OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [src/] [DeblockTee.bsv] - Rev 24

Go to most recent revision | Compare with Previous | Blame | View Log

import GetPut::*;
import H264Types::*;


module mkDeblockTee#(Get#(DeblockFilterOT) inputData, Put#(DeblockFilterOT) outputData, String prefix) ();

 Reg#(Bit#(32)) cycles <- mkReg(0);

 rule cycleup;
   cycles <= cycles + 1;
 endrule

 rule processData;
   let dataIn <- inputData.get();
   outputData.put(dataIn); 
   $write(prefix);
   case (dataIn) matches
     tagged DFBLuma .data: $display("DFBLuma(%d): hor: %d ver:%d data:%h\n", cycles,data.hor, data.ver, data.data);
     tagged DFBChroma .data: $display("DFBChroma(%d): flag: %d hor: %d ver:%d data:%h\n", cycles, data.uv, data.hor, data.ver, data.data);
     tagged EndOfFrame: $display("EndOfFrame(%d)", cycles);
     tagged EDOT .data: $display("EDOT");
   endcase
 endrule
endmodule

 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.