OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [test/] [decoder/] [ldecod/] [inc/] [loopfilter.h] - Rev 100

Compare with Previous | Blame | View Log

/*!
 ************************************************************************
 *  \file
 *     loopfilter.h
 *  \brief
 *     external loop filter interface
 ************************************************************************
 */
 
#ifndef _LOOPFILTER_H_
#define _LOOPFILTER_H_
 
#include "global.h"
#include "mbuffer.h"
 
void DeblockPicture(struct img_par *img, StorablePicture *p) ;
 
#endif //_LOOPFILTER_H_
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.