OpenCores
URL https://opencores.org/ocsvn/bw_tiff_compression/bw_tiff_compression/trunk

Subversion Repositories bw_tiff_compression

[/] [bw_tiff_compression/] [trunk/] [wcfg/] [TB_capture_manager.wcfg] - Rev 8

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="../prj/capture_manager_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="math_real" />
            <top_module name="numeric_std" />
            <top_module name="std_logic_1164" />
            <top_module name="std_logic_arith" />
            <top_module name="std_logic_unsigned" />
            <top_module name="tb_capture_manager" />
            <top_module name="textio" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="17" />
   <wvobject fp_name="/tb_capture_manager/col_o" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">col_o[15:0]</obj_property>
      <obj_property name="ObjectShortName">col_o[15:0]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_capture_manager/row_o" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">row_o[15:0]</obj_property>
      <obj_property name="ObjectShortName">row_o[15:0]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_capture_manager/fsync_i" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">fsync_i</obj_property>
      <obj_property name="ObjectShortName">fsync_i</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_capture_manager/rsync_i" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rsync_i</obj_property>
      <obj_property name="ObjectShortName">rsync_i</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_capture_manager/pclk_i" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">pclk_i</obj_property>
      <obj_property name="ObjectShortName">pclk_i</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_capture_manager/uut/pix" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">pix</obj_property>
      <obj_property name="ObjectShortName">pix</obj_property>
   </wvobject>
   <wvobject fp_name="group55" type="group">
      <obj_property name="label">UART_pc</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/rst" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">rst</obj_property>
         <obj_property name="ObjectShortName">rst</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/clk" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">clk</obj_property>
         <obj_property name="ObjectShortName">clk</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/tfctr" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">tfctr[3:0]</obj_property>
         <obj_property name="ObjectShortName">tfctr[3:0]</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/wr" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">wr</obj_property>
         <obj_property name="ObjectShortName">wr</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/dbin" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">dbin[7:0]</obj_property>
         <obj_property name="ObjectShortName">dbin[7:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/txd" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">txd</obj_property>
         <obj_property name="ObjectShortName">txd</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/tbe" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">tbe</obj_property>
         <obj_property name="ObjectShortName">tbe</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/rxd" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">rxd</obj_property>
         <obj_property name="ObjectShortName">rxd</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/dbout" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">dbout[7:0]</obj_property>
         <obj_property name="ObjectShortName">dbout[7:0]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/dbout" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">dbout[7:0]</obj_property>
         <obj_property name="ObjectShortName">dbout[7:0]</obj_property>
         <obj_property name="Radix">BINARYRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/rda" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">rda</obj_property>
         <obj_property name="ObjectShortName">rda</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/rd" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">rd</obj_property>
         <obj_property name="ObjectShortName">rd</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/sttcur" type="other" db_ref_id="1">
         <obj_property name="ElementShortName">sttcur</obj_property>
         <obj_property name="ObjectShortName">sttcur</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/strcur" type="other" db_ref_id="1">
         <obj_property name="ElementShortName">strcur</obj_property>
         <obj_property name="ObjectShortName">strcur</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/ctr" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">ctr[3:0]</obj_property>
         <obj_property name="ObjectShortName">ctr[3:0]</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/tdelayctr" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">tdelayctr[12:0]</obj_property>
         <obj_property name="ObjectShortName">tdelayctr[12:0]</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/baudrate" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">baudrate[12:0]</obj_property>
         <obj_property name="ObjectShortName">baudrate[12:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/UART_pc_ins/bauddivide" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">bauddivide[8:0]</obj_property>
         <obj_property name="ObjectShortName">bauddivide[8:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
   </wvobject>
   <wvobject fp_name="group81" type="group">
      <obj_property name="label">UART_fpga</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/rst" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">rst</obj_property>
         <obj_property name="ObjectShortName">rst</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/clk" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">clk</obj_property>
         <obj_property name="ObjectShortName">clk</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/tfctr" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">tfctr[3:0]</obj_property>
         <obj_property name="ObjectShortName">tfctr[3:0]</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/txd" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">txd</obj_property>
         <obj_property name="ObjectShortName">txd</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/rxd" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">rxd</obj_property>
         <obj_property name="ObjectShortName">rxd</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/dbin" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">dbin[7:0]</obj_property>
         <obj_property name="ObjectShortName">dbin[7:0]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/clk" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">clk</obj_property>
         <obj_property name="ObjectShortName">clk</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/rd" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">rd</obj_property>
         <obj_property name="ObjectShortName">rd</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/wr" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">wr</obj_property>
         <obj_property name="ObjectShortName">wr</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/rda" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">rda</obj_property>
         <obj_property name="ObjectShortName">rda</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/tbe" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">tbe</obj_property>
         <obj_property name="ObjectShortName">tbe</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/dbout" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">dbout[7:0]</obj_property>
         <obj_property name="ObjectShortName">dbout[7:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/sttcur" type="other" db_ref_id="1">
         <obj_property name="ElementShortName">sttcur</obj_property>
         <obj_property name="ObjectShortName">sttcur</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/strcur" type="other" db_ref_id="1">
         <obj_property name="ElementShortName">strcur</obj_property>
         <obj_property name="ObjectShortName">strcur</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/clkdiv" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">clkdiv[9:0]</obj_property>
         <obj_property name="ObjectShortName">clkdiv[9:0]</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/ctr" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">ctr[3:0]</obj_property>
         <obj_property name="ObjectShortName">ctr[3:0]</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/baudrate" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">baudrate[12:0]</obj_property>
         <obj_property name="ObjectShortName">baudrate[12:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/UART_ins/bauddivide" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">bauddivide[8:0]</obj_property>
         <obj_property name="ObjectShortName">bauddivide[8:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
   </wvobject>
   <wvobject fp_name="group64" type="group">
      <obj_property name="label">TX_RAM</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/reset_i" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">reset_i</obj_property>
         <obj_property name="ObjectShortName">reset_i</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/rd1" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">rd1</obj_property>
         <obj_property name="ObjectShortName">rd1</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/rd_addr1" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">rd_addr1[9:0]</obj_property>
         <obj_property name="ObjectShortName">rd_addr1[9:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/rd2" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">rd2</obj_property>
         <obj_property name="ObjectShortName">rd2</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/rd_addr2" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">rd_addr2[9:0]</obj_property>
         <obj_property name="ObjectShortName">rd_addr2[9:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/rd3" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">rd3</obj_property>
         <obj_property name="ObjectShortName">rd3</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/rd_addr3" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">rd_addr3[9:0]</obj_property>
         <obj_property name="ObjectShortName">rd_addr3[9:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/rd4" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">rd4</obj_property>
         <obj_property name="ObjectShortName">rd4</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/rd_addr4" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">rd_addr4[9:0]</obj_property>
         <obj_property name="ObjectShortName">rd_addr4[9:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/mux_sel" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">mux_sel[1:0]</obj_property>
         <obj_property name="ObjectShortName">mux_sel[1:0]</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/wr1" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">wr1</obj_property>
         <obj_property name="ObjectShortName">wr1</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/wr_addr1" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">wr_addr1[9:0]</obj_property>
         <obj_property name="ObjectShortName">wr_addr1[9:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/wr2" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">wr2</obj_property>
         <obj_property name="ObjectShortName">wr2</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/wr_addr2" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">wr_addr2[9:0]</obj_property>
         <obj_property name="ObjectShortName">wr_addr2[9:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/wr3" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">wr3</obj_property>
         <obj_property name="ObjectShortName">wr3</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/wr_addr3" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">wr_addr3[9:0]</obj_property>
         <obj_property name="ObjectShortName">wr_addr3[9:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/wr4" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">wr4</obj_property>
         <obj_property name="ObjectShortName">wr4</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/var_width_RAM_ins/wr_addr4" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">wr_addr4[9:0]</obj_property>
         <obj_property name="ObjectShortName">wr_addr4[9:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
   </wvobject>
   <wvobject fp_name="group75" type="group">
      <obj_property name="label">byte_segmentation</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/reset_i" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">reset_i</obj_property>
         <obj_property name="ObjectShortName">reset_i</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/d_i" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">d_i[27:0]</obj_property>
         <obj_property name="ObjectShortName">d_i[27:0]</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/d_width_i" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">d_width_i[4:0]</obj_property>
         <obj_property name="ObjectShortName">d_width_i[4:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/d_rdy_i" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">d_rdy_i</obj_property>
         <obj_property name="ObjectShortName">d_rdy_i</obj_property>
      </wvobject>
      <wvobject fp_name="group321" type="group">
         <obj_property name="label">FIFO</obj_property>
         <obj_property name="DisplayName">label</obj_property>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_din" type="array" db_ref_id="1">
            <obj_property name="ElementShortName">fifo_din[32:0]</obj_property>
            <obj_property name="ObjectShortName">fifo_din[32:0]</obj_property>
         </wvobject>
         <wvobject fp_name="vbus360" type="vbus" db_ref_id="1">
            <obj_property name="label">fifo_din(width)</obj_property>
            <obj_property name="DisplayName">label</obj_property>
            <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
            <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_din[32]" type="logic" db_ref_id="1">
               <obj_property name="ElementShortName">[32]</obj_property>
               <obj_property name="ObjectShortName">fifo_din[32]</obj_property>
            </wvobject>
            <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_din[31]" type="logic" db_ref_id="1">
               <obj_property name="ElementShortName">[31]</obj_property>
               <obj_property name="ObjectShortName">fifo_din[31]</obj_property>
            </wvobject>
            <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_din[30]" type="logic" db_ref_id="1">
               <obj_property name="ElementShortName">[30]</obj_property>
               <obj_property name="ObjectShortName">fifo_din[30]</obj_property>
            </wvobject>
            <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_din[29]" type="logic" db_ref_id="1">
               <obj_property name="ElementShortName">[29]</obj_property>
               <obj_property name="ObjectShortName">fifo_din[29]</obj_property>
            </wvobject>
            <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_din[28]" type="logic" db_ref_id="1">
               <obj_property name="ElementShortName">[28]</obj_property>
               <obj_property name="ObjectShortName">fifo_din[28]</obj_property>
            </wvobject>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_used" type="array" db_ref_id="1">
            <obj_property name="ElementShortName">fifo_used[3:0]</obj_property>
            <obj_property name="ObjectShortName">fifo_used[3:0]</obj_property>
            <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_dout" type="array" db_ref_id="1">
            <obj_property name="ElementShortName">fifo_dout[32:0]</obj_property>
            <obj_property name="ObjectShortName">fifo_dout[32:0]</obj_property>
         </wvobject>
         <wvobject fp_name="vbus399" type="vbus" db_ref_id="1">
            <obj_property name="label">fifo_dout(width)</obj_property>
            <obj_property name="DisplayName">label</obj_property>
            <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
            <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_dout[32]" type="logic" db_ref_id="1">
               <obj_property name="ElementShortName">[32]</obj_property>
               <obj_property name="ObjectShortName">fifo_dout[32]</obj_property>
            </wvobject>
            <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_dout[31]" type="logic" db_ref_id="1">
               <obj_property name="ElementShortName">[31]</obj_property>
               <obj_property name="ObjectShortName">fifo_dout[31]</obj_property>
            </wvobject>
            <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_dout[30]" type="logic" db_ref_id="1">
               <obj_property name="ElementShortName">[30]</obj_property>
               <obj_property name="ObjectShortName">fifo_dout[30]</obj_property>
            </wvobject>
            <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_dout[29]" type="logic" db_ref_id="1">
               <obj_property name="ElementShortName">[29]</obj_property>
               <obj_property name="ObjectShortName">fifo_dout[29]</obj_property>
            </wvobject>
            <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_dout[28]" type="logic" db_ref_id="1">
               <obj_property name="ElementShortName">[28]</obj_property>
               <obj_property name="ObjectShortName">fifo_dout[28]</obj_property>
            </wvobject>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_rd" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">fifo_rd</obj_property>
            <obj_property name="ObjectShortName">fifo_rd</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_empty" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">fifo_empty</obj_property>
            <obj_property name="ObjectShortName">fifo_empty</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/fifo_valid" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">fifo_valid</obj_property>
            <obj_property name="ObjectShortName">fifo_valid</obj_property>
         </wvobject>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">shift_reg[34:0]</obj_property>
         <obj_property name="ObjectShortName">shift_reg[34:0]</obj_property>
      </wvobject>
      <wvobject fp_name="vbus359" type="vbus" db_ref_id="1">
         <obj_property name="label">shift_reg[34:27]</obj_property>
         <obj_property name="DisplayName">label</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[34]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[34]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[34]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[33]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[33]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[33]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[32]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[32]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[32]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[31]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[31]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[31]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[30]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[30]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[30]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[29]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[29]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[29]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[28]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[28]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[28]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[27]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[27]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[27]</obj_property>
         </wvobject>
      </wvobject>
      <wvobject fp_name="vbus368" type="vbus" db_ref_id="1">
         <obj_property name="label">shift_reg[26:19]</obj_property>
         <obj_property name="DisplayName">label</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[26]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[26]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[26]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[25]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[25]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[25]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[24]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[24]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[24]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[23]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[23]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[23]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[22]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[22]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[22]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[21]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[21]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[21]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[20]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[20]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[20]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[19]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[19]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[19]</obj_property>
         </wvobject>
      </wvobject>
      <wvobject fp_name="vbus311" type="vbus" db_ref_id="1">
         <obj_property name="label">shift_reg[18:11]</obj_property>
         <obj_property name="DisplayName">label</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[18]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[18]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[18]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[17]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[17]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[17]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[16]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[16]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[16]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[15]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[15]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[15]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[14]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[14]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[14]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[13]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[13]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[13]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[12]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[12]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[12]</obj_property>
         </wvobject>
         <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/shift_reg[11]" type="logic" db_ref_id="1">
            <obj_property name="ElementShortName">[11]</obj_property>
            <obj_property name="ObjectShortName">shift_reg[11]</obj_property>
         </wvobject>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/index" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">index[5:0]</obj_property>
         <obj_property name="ObjectShortName">index[5:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/d1_o" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">d1_o[7:0]</obj_property>
         <obj_property name="ObjectShortName">d1_o[7:0]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/d_rdy1_o" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">d_rdy1_o</obj_property>
         <obj_property name="ObjectShortName">d_rdy1_o</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/d2_o" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">d2_o[7:0]</obj_property>
         <obj_property name="ObjectShortName">d2_o[7:0]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/d_rdy2_o" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">d_rdy2_o</obj_property>
         <obj_property name="ObjectShortName">d_rdy2_o</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/d3_o" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">d3_o[7:0]</obj_property>
         <obj_property name="ObjectShortName">d3_o[7:0]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/d_rdy3_o" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">d_rdy3_o</obj_property>
         <obj_property name="ObjectShortName">d_rdy3_o</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/d4_o" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">d4_o[7:0]</obj_property>
         <obj_property name="ObjectShortName">d4_o[7:0]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/byte_segmentation_ins_v5/d_rdy4_o" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">d_rdy4_o</obj_property>
         <obj_property name="ObjectShortName">d_rdy4_o</obj_property>
      </wvobject>
   </wvobject>
   <wvobject fp_name="/tb_capture_manager/pclk_i" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">pclk_i</obj_property>
      <obj_property name="ObjectShortName">pclk_i</obj_property>
   </wvobject>
   <wvobject fp_name="group123" type="group">
      <obj_property name="label">capture_manager</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <wvobject fp_name="/tb_capture_manager/uut/reset_i" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">reset_i</obj_property>
         <obj_property name="ObjectShortName">reset_i</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/pclk_i" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">pclk_i</obj_property>
         <obj_property name="ObjectShortName">pclk_i</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/fsync_i" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">fsync_i</obj_property>
         <obj_property name="ObjectShortName">fsync_i</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/rsync_i" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">rsync_i</obj_property>
         <obj_property name="ObjectShortName">rsync_i</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/pix_data_i" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">pix_data_i[7:0]</obj_property>
         <obj_property name="ObjectShortName">pix_data_i[7:0]</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/led0_o" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">led0_o</obj_property>
         <obj_property name="ObjectShortName">led0_o</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/led1_o" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">led1_o</obj_property>
         <obj_property name="ObjectShortName">led1_o</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/led2_o" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">led2_o</obj_property>
         <obj_property name="ObjectShortName">led2_o</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/led3_o" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">led3_o</obj_property>
         <obj_property name="ObjectShortName">led3_o</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/tx_mem_read_addr" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">tx_mem_read_addr[11:0]</obj_property>
         <obj_property name="ObjectShortName">tx_mem_read_addr[11:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/tx_mem_used" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">tx_mem_used[11:0]</obj_property>
         <obj_property name="ObjectShortName">tx_mem_used[11:0]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/tx_buf_empty" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">tx_buf_empty</obj_property>
         <obj_property name="ObjectShortName">tx_buf_empty</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/tx_trigger" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">tx_trigger</obj_property>
         <obj_property name="ObjectShortName">tx_trigger</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/state" type="other" db_ref_id="1">
         <obj_property name="ElementShortName">state</obj_property>
         <obj_property name="ObjectShortName">state</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/rxstreamsizesignal" type="other" db_ref_id="1">
         <obj_property name="ElementShortName">rxstreamsizesignal</obj_property>
         <obj_property name="ObjectShortName">rxstreamsizesignal</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/rxbytecnt" type="other" db_ref_id="1">
         <obj_property name="ElementShortName">rxbytecnt</obj_property>
         <obj_property name="ObjectShortName">rxbytecnt</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/run_len_code_ccitt4" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">run_len_code_ccitt4[27:0]</obj_property>
         <obj_property name="ObjectShortName">run_len_code_ccitt4[27:0]</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/run_len_code_width_ccitt4" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">run_len_code_width_ccitt4[4:0]</obj_property>
         <obj_property name="ObjectShortName">run_len_code_width_ccitt4[4:0]</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/run_len_code_valid_ccitt4" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">run_len_code_valid_ccitt4</obj_property>
         <obj_property name="ObjectShortName">run_len_code_valid_ccitt4</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_capture_manager/uut/frame_finished_ccitt4" type="logic" db_ref_id="1">
         <obj_property name="ElementShortName">frame_finished_ccitt4</obj_property>
         <obj_property name="ObjectShortName">frame_finished_ccitt4</obj_property>
      </wvobject>
   </wvobject>
   <wvobject fp_name="/tb_capture_manager/ccitt4_run_len_code_o" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ccitt4_run_len_code_o[27:0]</obj_property>
      <obj_property name="ObjectShortName">ccitt4_run_len_code_o[27:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_capture_manager/ccitt4_run_len_code_width_o" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ccitt4_run_len_code_width_o[4:0]</obj_property>
      <obj_property name="ObjectShortName">ccitt4_run_len_code_width_o[4:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_capture_manager/ccitt4_run_len_code_valid_o" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ccitt4_run_len_code_valid_o</obj_property>
      <obj_property name="ObjectShortName">ccitt4_run_len_code_valid_o</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_capture_manager/ccitt4_frame_finished_o" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ccitt4_frame_finished_o</obj_property>
      <obj_property name="ObjectShortName">ccitt4_frame_finished_o</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_capture_manager/uut/tx_memory_size_g" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">tx_memory_size_g</obj_property>
      <obj_property name="ObjectShortName">tx_memory_size_g</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.