OpenCores
URL https://opencores.org/ocsvn/cic_core/cic_core/trunk

Subversion Repositories cic_core

[/] [cic_core/] [trunk/] [src/] [integrator.sv] - Rev 4

Compare with Previous | Blame | View Log

module integrator
/*********************************************************************************************/
#(parameter idw = 8 , odw = 9)
/*********************************************************************************************/
(
    input   clk,
    input   reset_n,
    input   signed [idw-1:0] data_in,
    output  reg signed [odw-1:0] data_out
);
/*********************************************************************************************/
always_ff @(posedge clk)
begin
    if (!reset_n)
        data_out <= '0;
    else
        data_out <= data_out + data_in;
end
/*********************************************************************************************/
endmodule

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.