OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [build/] [config/] [Configs/] [xconfig.in] - Rev 4

Compare with Previous | Blame | View Log

mainmenu_name "CORE Configuration"

source syn/config.in
source vhdl/core/config.in

source vhdl/bus/config.in

mainmenu_option next_comment
comment 'Peripherals        '

  source vhdl/peripherals/mem/config.in
  source vhdl/peripherals/serial/config.in
  
endmenu
  
source vhdl/tbench/config.in

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.