OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [config.vhd] - Rev 5

Go to most recent revision | Compare with Previous | Blame | View Log

library ieee;
use ieee.std_logic_1164.all;
use work.memdef.all;
 
-- $(trans-do-not-touch)
-- PREFIX: cfg_xxx
package config is
 
 
-- byte order
constant CFG_BO_BUS  : lmd_byteorder  := lmd_big;
constant CFG_BO_PROC : lmd_byteorder  := lmd_big;
constant CFG_BO_INSN : lmd_byteorder  := lmd_little;
 
end;
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.