URL
https://opencores.org/ocsvn/core_arm/core_arm/trunk
Subversion Repositories core_arm
[/] [core_arm/] [trunk/] [vhdl/] [tbench/] [tbench_config.vhd] - Rev 4
Compare with Previous | Blame | View Log
library ieee; use ieee.std_logic_1164.all; use work.tbench_comp.all; entity tbench_config is end tbench_config; architecture behav of tbench_config is signal i : tbench_gen_typ_in; signal o : tbench_gen_typ_out; begin tb0: tbench_gen port map (i,o); end behav;