OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vsim/] [arm] - Rev 5

Go to most recent revision | Compare with Previous | Blame | View Log

onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider -height 50 IMSTG
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/clk
add wave -noupdate -format Logic -label i.hold -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/i.pstate.hold_r.hold
add wave -noupdate -format Logic -label i.nextinsn_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/i.pstate.nextinsn_v
add wave -noupdate -format Logic -label i.flush_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/i.flush_v
add wave -noupdate -divider out
add wave -noupdate -format Literal -label o.tofe_addrphy_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/o.tofe_addrphy_v
add wave -noupdate -divider branch
add wave -noupdate -format Logic -label i.branch_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/i.branch_v
add wave -noupdate -format Literal -label i.addrvir_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/i.addrvir_v
add wave -noupdate -divider { }
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/rst
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/i
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/o
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/r
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/c
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/rdbg
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/imstg0/cdbg
add wave -noupdate -divider -height 50 FESTG
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/clk
add wave -noupdate -format Logic -label i.hold -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/i.pstate.hold_r.hold
add wave -noupdate -format Logic -label i.nextinsn_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/i.pstate.nextinsn_v
add wave -noupdate -format Logic -label i.flush_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/i.flush_v
add wave -noupdate -divider icache
add wave -noupdate -format Literal -label ico.data -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/i.ico.dat_line_v.data
add wave -noupdate -format Logic -label ico.mstrobe -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/i.ico.mstrobe
add wave -noupdate -format Literal -label ici.pc_r -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/o.ici.pc_r
add wave -noupdate -format Logic -label ici.annul -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/o.ici.annul
add wave -noupdate -divider out
add wave -noupdate -format Literal -label .tode_insn_r -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/o.tode_insn_r
add wave -noupdate -divider { }
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/rst
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/i
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/o
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/r
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/c
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/rdbg
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/festg0/cdbg
add wave -noupdate -divider -height 50 DESTG
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/destg0/clk
add wave -noupdate -format Logic -label i.hold -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/destg0/i.pstate.hold_r.hold
add wave -noupdate -format Logic -label i.nextinsn_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/destg0/i.pstate.nextinsn_v
add wave -noupdate -format Logic -label i.flush_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/destg0/i.flush_v
add wave -noupdate -divider out
add wave -noupdate -format Literal -label o.todr_insn_r -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/destg0/o.todr_insn_r
add wave -noupdate -divider { }
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/destg0/rst
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/destg0/i
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/destg0/o
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/destg0/r
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/destg0/c
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/destg0/rdbg
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/destg0/cdbg
add wave -noupdate -divider -height 50 DRSTG
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/clk
add wave -noupdate -format Logic -label i.hold -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/i.pstate.hold_r.hold
add wave -noupdate -format Logic -label i.flush_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/i.flush_v
add wave -noupdate -format Literal -label r.pc_8 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/i.fromde_insn_r.insn.pc_8
add wave -noupdate -format Literal -label r.decinsn -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/i.fromde_insn_r.insn.decinsn
add wave -noupdate -format Logic -label o.nextinsn_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/o.nextinsn_v
add wave -noupdate -divider cmd
add wave -noupdate -format Literal -label r.cnt -radix decimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/r.cnt
add wave -noupdate -format Logic -label i.fromrr_nextmicro_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/i.fromrr_nextmicro_v
add wave -noupdate -format Literal -label t.ctrli -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cdbg.dbg.ctrli
add wave -noupdate -format Literal -label t.ctrlo -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cdbg.dbg.ctrlo
add wave -noupdate -format Literal -label t.pctrl -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cdbg.dbg.pctrl
add wave -noupdate -divider {micro out}
add wave -noupdate -format Logic -label .r1_valid -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/o.torr_micro_v.r1_valid
add wave -noupdate -format Literal -label .r1 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/o.torr_micro_v.r1
add wave -noupdate -format Logic -label .r2_valid -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/o.torr_micro_v.r2_valid
add wave -noupdate -format Literal -label .r2 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/o.torr_micro_v.r2
add wave -noupdate -format Literal -label .rd -radix decimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/o.torr_micro_v.pctrl.wr.wrop_rd
add wave -noupdate -format Logic -label .rd_valid -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/o.torr_micro_v.pctrl.wr.wrop_rdvalid
add wave -noupdate -format Literal -label o.torr_micro_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/o.torr_micro_v
add wave -noupdate -divider { }
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/rst
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/i
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/o
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/r
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/c
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/rdbg
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cdbg
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdali
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdalo
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdsri
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdsro
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdldi
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdldo
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdsti
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdsto
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdlmi
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdlmo
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdsmi
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdsmo
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdswi
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdswo
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdcri
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdcro
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdcli
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdcso
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdcsi
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdclo
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdbli
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/drstg0/cmdblo
add wave -noupdate -divider -height 50 RRSTG
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/clk
add wave -noupdate -format Logic -label i.hold -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/i.pstate.hold_r.hold
add wave -noupdate -format Logic -label .valid -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/r.micro.pctrl.valid
add wave -noupdate -format Literal -label r.pc_8 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/r.micro.pctrl.insn.pc_8
add wave -noupdate -format Literal -label r.decinsn -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/r.micro.pctrl.insn.decinsn
add wave -noupdate -format Logic -label o.todr_nextmicro_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/o.todr_nextmicro_v
add wave -noupdate -divider write
add wave -noupdate -format Literal -label .fromwr_rd_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/i.fromwr_rd_v
add wave -noupdate -format Logic -label .fromwr_rd_valid_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/i.fromwr_rd_valid_v
add wave -noupdate -format Literal -label .fromwr_rd_data_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/i.fromwr_rd_data_v
add wave -noupdate -divider lock
add wave -noupdate -format Logic -label t.lock -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/cdbg.dbg.lock
add wave -noupdate -format Logic -label t.lock_cpsr -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/cdbg.dbg.lock_cpsr
add wave -noupdate -format Logic -label t.lock_reg -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/cdbg.dbg.lock_reg
add wave -noupdate -divider forward
add wave -noupdate -format Literal -label t.fwr1b -radix binary /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/cdbg.dbg.fwr1b
add wave -noupdate -format Literal -label t.fwr2b -radix binary /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/cdbg.dbg.fwr2b
add wave -noupdate -format Literal -label t.fwr1i -radix decimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/cdbg.dbg.fwr1i
add wave -noupdate -format Literal -label t.fwr2i -radix decimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/cdbg.dbg.fwr2i
add wave -noupdate -format Literal -label {.rsop_op2_src fwd } -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/o.tors_pctrl_v.rs.rsop_op2_src
add wave -noupdate -divider { registers}
add wave -noupdate -format Logic -label r.r1_valid -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/r.micro.r1_valid
add wave -noupdate -format Literal -label r.r1 -radix decimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/r.micro.r1
add wave -noupdate -format Logic -label r.r2_valid -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/r.micro.r2_valid
add wave -noupdate -format Literal -label r.r2 -radix decimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/r.micro.r2
add wave -noupdate -divider out
add wave -noupdate -format Literal -label o.data1 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/o.pctrl_r.data1
add wave -noupdate -format Literal -label o.data2 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/o.pctrl_r.data2
add wave -noupdate -divider { }
add wave -noupdate -format Literal -label r.pctrl -radix hexadecimal -expand /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/r.micro.pctrl
add wave -noupdate -format Literal -label o.tors_pctrl_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/o.tors_pctrl_v
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/rst
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/clkn
add wave -noupdate -format Literal -radix hexadecimal -expand /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/i
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/o
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/r
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/c
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/rdbg
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/cdbg
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/rfi
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rrstg0/rfo
add wave -noupdate -divider -height 50 RSSTG
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/clk
add wave -noupdate -format Logic -label i.hold -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/i.pstate.hold_r.hold
add wave -noupdate -format Logic -label i.nextinsn_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/i.pstate.nextinsn_v
add wave -noupdate -format Logic -label .valid -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/r.pctrl.valid
add wave -noupdate -format Literal -label r.pc_8 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/r.pctrl.insn.pc_8
add wave -noupdate -format Literal -label r.decinsn -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/r.pctrl.insn.decinsn
add wave -noupdate -divider shiefter
add wave -noupdate -format Literal -label r.rsop_styp -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/r.pctrl.rs.rsop_styp
add wave -noupdate -format Literal -label r.rsop_sdir -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/r.pctrl.rs.rsop_sdir
add wave -noupdate -format Literal -label r.data1 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/r.pctrl.data1
add wave -noupdate -format Literal -label r.data2 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/r.pctrl.data2
add wave -noupdate -format Logic -label i.carry -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/i.pstate.fromex_cpsr_r.ex.c
add wave -noupdate -format Literal -label t.shieftout -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/cdbg.dbg.shieftout
add wave -noupdate -format Logic -label t.shieftcarryout -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/c.pctrl.rs.rs_shieftcarryout
add wave -noupdate -divider out
add wave -noupdate -format Literal -label r.rsop_op1_src -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/r.pctrl.rs.rsop_op1_src
add wave -noupdate -format Literal -label r.rsop_op2_src -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/r.pctrl.rs.rsop_op2_src
add wave -noupdate -format Literal -label r.rsop_buf1_src -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/r.pctrl.rs.rsop_buf1_src
add wave -noupdate -format Literal -label r.rsop_buf2_src -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/r.pctrl.rs.rsop_buf2_src
add wave -noupdate -format Literal -label o.data1 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/o.toex_pctrl_v.data1
add wave -noupdate -format Literal -label o.data2 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/o.toex_pctrl_v.data2
add wave -noupdate -divider { }
add wave -noupdate -format Literal -label .pctrl -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/r.pctrl
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/rst
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/i
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/o
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/r
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/c
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/rdbg
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/rsstg0/cdbg
add wave -noupdate -divider -height 50 EXSTG
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/clk
add wave -noupdate -format Logic -label i.hold -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/i.pstate.hold_r.hold
add wave -noupdate -format Logic -label i.nextinsn_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/i.pstate.nextinsn_v
add wave -noupdate -format Logic -label .valid -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/r.pctrl.valid
add wave -noupdate -format Literal -label r.pc_8 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/r.pctrl.insn.pc_8
add wave -noupdate -format Literal -label r.decinsn -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/r.pctrl.insn.decinsn
add wave -noupdate -divider aluop
add wave -noupdate -format Literal -label r.dbgaluop -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/cdbg.dbg.dbgaluop
add wave -noupdate -format Literal -label r.data1 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/r.pctrl.data1
add wave -noupdate -format Literal -label r.data2 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/r.pctrl.data2
add wave -noupdate -format Literal -label t.result -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/cdbg.dbg.result
add wave -noupdate -divider adder
add wave -noupdate -format Logic -label .add_use -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/cdbg.dbg.add_use
add wave -noupdate -format Logic -label .add_carry -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/cdbg.dbg.add_carry
add wave -noupdate -format Logic -label .add_issub -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/cdbg.dbg.add_issub
add wave -noupdate -divider cpsr
add wave -noupdate -format Literal -label r.cpsr -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/r.cpsr
add wave -noupdate -format Literal -label t.newcpsr -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/cdbg.dbg.newcpsr
add wave -noupdate -format Logic -label r.exop_setcpsr -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/r.pctrl.ex.exop_setcpsr
add wave -noupdate -divider { out}
add wave -noupdate -format Literal -label r.exop_data_src -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/r.pctrl.ex.exop_data_src
add wave -noupdate -format Literal -label o.data1 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/o.todm_pctrl_v.data1
add wave -noupdate -format Literal -label o.data2 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/o.todm_pctrl_v.data2
add wave -noupdate -divider Branching
add wave -noupdate -format Logic -label o.toim_branch_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/o.toim_branch_v
add wave -noupdate -format Literal -label o.alures_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/o.alures_v
add wave -noupdate -divider { }
add wave -noupdate -format Literal -label .pctrl -radix hexadecimal -expand /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/r.pctrl
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/rst
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/i
add wave -noupdate -format Literal -radix hexadecimal -expand /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/o
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/r
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/c
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/rdbg
add wave -noupdate -format Literal -radix hexadecimal -expand /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/exstg0/cdbg
add wave -noupdate -divider -height 50 DMSTG
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/dmstg0/clk
add wave -noupdate -format Logic -label i.hold -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/dmstg0/i.pstate.hold_r.hold
add wave -noupdate -format Logic -label i.nextinsn_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/dmstg0/i.pstate.nextinsn_v
add wave -noupdate -format Literal -label r.pc_8 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/dmstg0/r.pctrl.insn.pc_8
add wave -noupdate -format Literal -label r.decinsn -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/dmstg0/r.pctrl.insn.decinsn
add wave -noupdate -divider { }
add wave -noupdate -divider { }
add wave -noupdate -format Literal -label .pctrl -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/dmstg0/r.pctrl
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/dmstg0/rst
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/dmstg0/i
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/dmstg0/o
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/dmstg0/r
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/dmstg0/c
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/dmstg0/rdbg
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/dmstg0/cdbg
add wave -noupdate -divider -height 50 MESTG
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/clk
add wave -noupdate -format Logic -label i.hold -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/i.pstate.hold_r.hold
add wave -noupdate -format Logic -label i.nextinsn_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/i.pstate.nextinsn_v
add wave -noupdate -format Logic -label .valid -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/r.pctrl.valid
add wave -noupdate -format Logic -label .flush_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/i.flush_v
add wave -noupdate -format Literal -label r.pc_8 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/r.pctrl.insn.pc_8
add wave -noupdate -format Literal -label r.decinsn -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/r.pctrl.insn.decinsn
add wave -noupdate -divider load/store
add wave -noupdate -format Logic -label r.meop_enable -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/r.pctrl.me.meop_enable
add wave -noupdate -format Literal -label r.meop_param -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/r.pctrl.me.meop_param
add wave -noupdate -format Literal -label {r.addr (data1)} -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/r.pctrl.data1
add wave -noupdate -format Literal -label {r.data (dmstg)} -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/o.dci.data_re
add wave -noupdate -divider dcache
add wave -noupdate -format Logic -label dci.annul -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/o.dci.annul
add wave -noupdate -divider { }
add wave -noupdate -format Literal -label .pctrl -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/r.pctrl
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/rst
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/i
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/o
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/r
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/c
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/rdbg
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/mestg0/cdbg
add wave -noupdate -divider -height 50 WRSTG
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/clk
add wave -noupdate -format Logic -label i.hold -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/i.pstate.hold_r.hold
add wave -noupdate -format Logic -label i.nextinsn_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/i.pstate.nextinsn_v
add wave -noupdate -format Logic -label .valid -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/r.pctrl.valid
add wave -noupdate -format Literal -label r.pc_8 -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/r.pctrl.insn.pc_8
add wave -noupdate -format Literal -label r.decinsn -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/r.pctrl.insn.decinsn
add wave -noupdate -divider { }
add wave -noupdate -format Literal -label r.wrop_rd -radix decimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/r.pctrl.wr.wrop_rd
add wave -noupdate -format Logic -label r.wrop_rdvalid -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/r.pctrl.wr.wrop_rdvalid
add wave -noupdate -divider Branching
add wave -noupdate -format Logic -label o.toim_branch_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/o.toim_branch_v
add wave -noupdate -format Literal -label o.toim_branchaddr_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/o.toim_branchaddr_v
add wave -noupdate -divider {CPSR SPSR}
add wave -noupdate -format Literal -label o.toex_cpsr_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/o.toex_cpsr_v
add wave -noupdate -format Logic -label o.toex_cpsrset_v -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/o.toex_cpsrset_v
add wave -noupdate -format Literal -label r.spsr -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/r.spsr
add wave -noupdate -format Literal -label r.pctrl.ex_cpsr -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/r.pctrl.ex.ex_cpsr
add wave -noupdate -divider DCACHE
add wave -noupdate -format Logic -label dco.me_mexc -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/i.dco.me_mexc
add wave -noupdate -format Literal -label dco.wr_data -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/i.dco.wr_data
add wave -noupdate -divider { }
add wave -noupdate -format Literal -label .pctrl -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/r.pctrl
add wave -noupdate -format Logic -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/rst
add wave -noupdate -format Literal -radix hexadecimal -expand /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/i
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/o
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/r
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/c
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/rdbg
add wave -noupdate -format Literal -radix hexadecimal /tbench_config/tb0/c0/carm0/socarm/arm0/arm0/wrstg0/cdbg
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {8860 ns}
WaveRestoreZoom {8603 ns} {9503 ns}
configure wave -namecolwidth 150
configure wave -valuecolwidth 100
configure wave -justifyvalue left
configure wave -signalnamewidth 0
configure wave -snapdistance 10
configure wave -datasetprefix 0

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.