OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [tags/] [eco32-0.24/] [fpga/] [src/] [ser/] [rcvbuf.v] - Rev 211

Compare with Previous | Blame | View Log

//
// rcvbuf.v -- serial line receiver buffer
//
 
 
module rcvbuf(clk, reset, read, ready, data_out, serial_in);
    input clk;
    input reset;
    input read;
    output reg ready;
    output reg [7:0] data_out;
    input serial_in;
 
  wire full;
  wire [7:0] parallel_out;
 
  rcv rcv1(clk, reset, full, parallel_out, serial_in);
 
  always @(posedge clk) begin
    if (reset == 1) begin
      ready <= 0;
    end else begin
      if (full == 1) begin
        data_out <= parallel_out;
      end
      if (full == 1 || read == 1) begin
        ready <= full;
      end
    end
  end
 
endmodule
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.