OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [README] - Rev 310

Go to most recent revision | Compare with Previous | Blame | View Log

Contents of Subdirectories
--------------------------

mc
multicycle implementations of ECO32
(DONE)

mc-sim
multicycle simulation of ECO32
(NOT YET)

mc-vl
Verilator-translated multicycle simulation of ECO32
(NOT YET)

pl
pipeline implementations of ECO32
(NOT YET)

pl-sim
pipeline simulation of ECO32
(NOT YET)

pl-vl
Verilator-translated pipeline simulation of ECO32
(NOT YET)

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.