OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

[/] [fade_ether_protocol/] [trunk/] [stable_jumbo_frames_version/] [fpga/] [src/] [desc.rec] - Rev 22

Go to most recent revision | Compare with Previous | Blame | View Log

# This is a record with packet descriptor
record pkt_desc
# Below are fields definitions
# pkt - number of the packet
pkt,unsigned,32
# sequential number of the packet
seq,unsigned,16
# Flags
valid,std_logic
confirmed,std_logic
sent,std_logic
flushed,std_logic
end

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.