OpenCores
URL https://opencores.org/ocsvn/fft2_size/fft2_size/trunk

Subversion Repositories fft2_size

[/] [fft2_size/] [fft_int/] [IntToBit32.m] - Rev 9

Compare with Previous | Blame | View Log

function y = IntToBit32(x)
% double to bit32
    y = typecast(int32(round(x)), 'uint32');
end

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.